Xiaodong Wang

发表

David M. Brooks, Kevin Lee, Xiaodong Wang, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Kiran Kumar Matam, Guoqiang Jerry Chen, E. K. Ardestani, 2021, 2104.05158.

Carole-Jean Wu, Kim M. Hazelwood, Xiaodong Wang, 2020, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Carole-Jean Wu, Gu-Yeon Wei, Hsien-Hsin S. Lee, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Carole-Jean Wu, David Brooks, Liang Xiong, 2019, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Carole-Jean Wu, Stephanie Forrest, Xiaodong Wang, 2020, ACM Trans. Archit. Code Optim..

Xiaodong Wang, Xiao-Yang Liu, A. Walid, 2023, IEEE Transactions on Computers.

Carole-Jean Wu, Kevin Chen, David Brooks, 2019, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Martin D. Schatz, Carole-Jean Wu, Hsien-Hsin S. Lee, 2019, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Carole-Jean Wu, Kim Hazelwood, David Brooks, 2019, ACM Trans. Archit. Code Optim..

Bo Yuan, Siyu Liao, Miao Yin, 2021, 2021 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Kiran Kumar Matam, Guoqiang Jerry Chen, E. K. Ardestani, 2021, ArXiv.

Kiran Kumar Matam, Guoqiang Jerry Chen, E. K. Ardestani, 2021, ISCA.

Mehmet Necip Kurt, Jiaohao Zheng, Xiaodong Wang, 2021, ICANN.

Bo Yuan, Xiao-Yang Liu, Xiaodong Wang, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Xiao-Yang Liu, Xiaodong Wang, Xiaodong Wang, 2020, IEEE Transactions on Neural Networks and Learning Systems.

Xiaodong Wang, Xiao-Yang Liu, Xiaodong Wang, 2017, ArXiv.

Xiaodong Wang, Carole-Jean Wu, Stephanie Forrest, 2020, GECCO Companion.