R. Das

发表

Chita R. Das, Reetuparna Das, Onur Mutlu, 2010, ISCA.

Reetuparna Das, Rachata Ausavarungnirun, Onur Mutlu, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

David J. Palframan, Ganesh S. Dasika, S. Mahlke, 2017 .

Chita R. Das, Narayanan Vijaykrishnan, Reetuparna Das, 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

O. Mutlu, T. Moscibroda, R. Das, 2011, IEEE Micro.

R. Das, D. Blaauw, R. Dickson, 2022, Communications biology.

S. Mahlke, R. Das, Daichi Fujiki, 2022, 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO).

Reetuparna Das, Daichi Fujiki, Arun K. Subramaniyan, 2021, Synthesis Lectures on Computer Architecture.

R. Das, D. Blaauw, S. Narayanasamy, 2022, Communications Biology.

Chita R. Das, Reetuparna Das, Onur Mutlu, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

R. Das, D. Blaauw, S. Narayanasamy, 2021, bioRxiv.

R. Das, D. Blaauw, S. Narayanasamy, 2023, bioRxiv.

David Blaauw, Reetuparna Das, Satish Narayanasamy, 2021, MICRO.

David Blaauw, Reetuparna Das, Satish Narayanasamy, 2021, 2021 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

R. Das, D. Blaauw, S. Narayanasamy, 2023, BMC Bioinformatics.

R. Das, D. Blaauw, S. Narayanasamy, 2022, bioRxiv.

Reetuparna Das, Xiaowei Wang, Charles Augustine, 2019, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

David Blaauw, Reetuparna Das, Xiaowei Wang, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

David Blaauw, Reetuparna Das, Xiaowei Wang, 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

David Blaauw, Reetuparna Das, Satish Narayanasamy, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

David Blaauw, Reetuparna Das, Satish Narayanasamy, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Reetuparna Das, Kevin Skadron, Arun Subramaniyan, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Scott A. Mahlke, Reetuparna Das, David J. Palframan, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Scott A. Mahlke, Reetuparna Das, Ronald G. Dreslinski, 2014, 2014 23rd International Conference on Parallel Architecture and Compilation (PACT).

Chita R. Das, Narayanan Vijaykrishnan, Reetuparna Das, 2011, J. Parallel Distributed Comput..

Reetuparna Das, Todd M. Austin, Matthew Hicks, 2016, ASPLOS.

Scott A. Mahlke, Reetuparna Das, Daichi Fujiki, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Scott A. Mahlke, Reetuparna Das, Daichi Fujiki, 2018, ASPLOS.

David Blaauw, Reetuparna Das, Satish Narayanasamy, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Reetuparna Das, Todd M. Austin, Salessawi Ferede Yitbarek, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

David Blaauw, Reetuparna Das, Satish Narayanasamy, 2021, IEEE Solid-State Circuits Letters.

David Blaauw, Reetuparna Das, Dennis Sylvester, 2020, IEEE Journal of Solid-State Circuits.

David Blaauw, Reetuparna Das, Satish Narayanasamy, 2020, 2020 IEEE Custom Integrated Circuits Conference (CICC).

David Blaauw, Reetuparna Das, Satish Narayanasamy, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Reetuparna Das, Todd M. Austin, William Arthur, 2015, 2015 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Reetuparna Das, Tao Yang, Todd M. Austin, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Reetuparna Das, Kevin Kai-Wei Chang, Rachata Ausavarungnirun, 2016, Parallel Comput..

Reetuparna Das, Arun Subramaniyan, Arun K. Subramaniyan, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Reetuparna Das, Scott Mahlke, Jiecao Yu, 2019, ACM Trans. Embed. Comput. Syst..

Reetuparna Das, Xiaowei Wang, Westley Weimer, 2020, CCSW@CCS.

Mahmut T. Kandemir, Chita R. Das, Reetuparna Das, 2009, Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis.

Reetuparna Das, Valeria Bertacco, Ritesh Parikh, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Sudhir K. Satpathy, R. Dreslinski, R. Das, 2013, ISCA.

Reetuparna Das, Valeria Bertacco, Vidushi Goyal, 2020, 2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Reetuparna Das, Eriko Nurvitadhi, Valeria Bertacco, 2021, 2021 IEEE 29th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Reetuparna Das, R. Das, 2017, IEEE Micro.

Chita R. Das, Reetuparna Das, Xiaoxia Wu, 2010, Design Automation Conference.

Chita R. Das, Narayanan Vijaykrishnan, Reetuparna Das, 2007, ISCA '07.

David Blaauw, Reetuparna Das, Ronald G. Dreslinski, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Scott A. Mahlke, Reetuparna Das, Andrew Lukefahr, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Scott A. Mahlke, Reetuparna Das, Andrew Lukefahr, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Reetuparna Das, Rachata Ausavarungnirun, Onur Mutlu, 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

David Blaauw, Reetuparna Das, Ronald G. Dreslinski, 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Scott A. Mahlke, Reetuparna Das, Daichi Fujiki, 2017, 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Scott A. Mahlke, Reetuparna Das, Ronald G. Dreslinski, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Scott A. Mahlke, Reetuparna Das, Ronald G. Dreslinski, 2016, IEEE Transactions on Computers.

David Blaauw, Satish Narayanasamy, Xiao Wu, 2020, 2020 IEEE Symposium on VLSI Circuits.

R. Das, V. Bertacco, Vidushi Goyal, 2022, ACM Trans. Embed. Comput. Syst..