Ke Wang

发表

Kevin Skadron, Mircea R. Stan, Alec Roelke, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Songmin Jia, Xiuzhi Li, Yuchen Li, 2012, 2012 Proceedings of SICE Annual Conference (SICE).

Yujie Liu, Ke Wang, Jian John Lu, 2019, Journal of Advanced Transportation.

Jing Zhou, Yijun Yu, Ke Wang, 2016, 2016 China International Conference on Electricity Distribution (CICED).

Songmin Jia, Xiuzhi Li, Yuchen Li, 2012, 2012 IEEE International Conference on Information and Automation.

Songmin Jia, Xiuzhi Li, Ke Wang, 2012, 2012 IEEE International Conference on Information and Automation.

Kevin Skadron, Mircea R. Stan, Runjie Zhang, 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Songmin Jia, Yuchen Li, Ke Wang, 2013, 2013 IEEE International Conference on Information and Automation (ICIA).

Rong Wang, Yang Li, Ke Wang, 2006, 2006 6th World Congress on Intelligent Control and Automation.

Jin Shan Wang, Ke Wang, Xiaodong Wang, 2012 .

Ke Wang, Guangxin Li, 2006, TENCON 2006 - 2006 IEEE Region 10 Conference.

Ke Wang, Yong Li, Wanzhong Chen, 2010, 2010 2nd International Asia Conference on Informatics in Control, Automation and Robotics (CAR 2010).

Yang Guo, Ke Wang, 2009, 2009 Second International Workshop on Cognitive Radio and Advanced Spectrum Management.

Ke Wang, Wei Wang, Qianping Wang, 2008, 2008 Third International Conference on Pervasive Computing and Applications.

Kevin Skadron, Mircea R. Stan, Ke Wang, 2016, 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Zhengyou He, Ke Wang, Shibin Gao, 2017, IEEE Transactions on Power Delivery.

Kevin Skadron, Mircea R. Stan, Runjie Zhang, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Ke Wang, Yinlong Xu, Lianqiang Niu, 2008, 2008 3rd International Conference on Innovative Computing Information and Control.

Kevin Skadron, Mircea R. Stan, Runjie Zhang, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Songmin Jia, Xue Zhao, Yuchen Li, 2014, 2014 IEEE International Conference on Mechatronics and Automation.

Kevin Skadron, Mircea R. Stan, Runjie Zhang, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ke Wang, Jie Qin, Hui Yin, 2008, 2008 IEEE International Symposium on IT in Medicine and Education.

Xiaodong Wang, Ke Wang, Jinshan Wang, 2008, 2008 Second International Symposium on Intelligent Information Technology Application.

Kevin Skadron, Donald E. Brown, Ke Wang, 2015, 2015 IEEE International Conference on Big Data (Big Data).

Li Hao, Yan Zhao, Ke Wang, 2008, 2008 Third International Conference on Pervasive Computing and Applications.

Qiuqi Ruan, Ke Wang, 2004, Proceedings 7th International Conference on Signal Processing, 2004. Proceedings. ICSP '04. 2004..

Kun Yu, Ke Wang, Xingying Chen, 2018, 2018 International Conference on Power System Technology (POWERCON).

Ke Wang, Yan-Qiu Cui, 2005, 2005 International Conference on Machine Learning and Cybernetics.

Ke Wang, Shuwen Yang, Di Wu, 2001, SPIE/OSA/IEEE Asia Communications and Photonics.

Ke Wang, Yuan Qu, Rong Liu, 2013, 2013 IEEE International Conference on Information and Automation (ICIA).

Sunita Chandrasekaran, Kevin Skadron, Matthias S. Müller, 2014, PMBS@SC.

Li Hao, Yan Zhao, Ke Wang, 2008, 2008 Third International Conference on Pervasive Computing and Applications.

Ke Wang, Bin Zhang, Yang Teng, 2010, 2010 3rd International Congress on Image and Signal Processing.

Ke Wang, Deyuan Guo, Prateek Agrawal, 2016, 2016 IEEE Systems and Information Engineering Design Symposium (SIEDS).

Wenyuan Li, Ke Wang, Adriel Lau, 2013, IEEE Transactions on Power Systems.

Wenyuan Li, Ke Wang, Zhihui Guo, 2012, IEEE Transactions on Power Systems.

Ke Wang, Bo Li, Jingxin Liu, 2011, 2011 IEEE International Conference on Computer Science and Automation Engineering.

Songmin Jia, Xiuzhi Li, Yuchen Li, 2012, 2012 IEEE International Conference on Information and Automation.

Ke Wang, Bo Li, 2011, 2011 IEEE International Symposium on IT in Medicine and Education.

Baocai Yin, Ke Wang, Jintong Guo, 2004, IEEE International Symposium on Communications and Information Technology, 2004. ISCIT 2004..

Ke Wang, Qianhui Yi, 2009, 2009 International Conference on Mechatronics and Automation.

Kevin Skadron, Ke Wang, Elaheh Sadredini, 2016, Conf. Computing Frontiers.

Xiaodong Wang, Ke Wang, Jinshan Wang, 2010, Proceedings of the 29th Chinese Control Conference.

Ke Wang, Fu Liu, Yun Liu, 2016, 2016 35th Chinese Control Conference (CCC).

Salvatore J. Stolfo, Ke Wang, Shlomo Hershkop, 2003 .

Kevin Skadron, Donald E. Brown, Ke Wang, 2015, Proceedings of the 2015 IEEE 9th International Conference on Semantic Computing (IEEE ICSC 2015).

Kevin Skadron, Ke Wang, Elaheh Sadredini, 2017, International Journal of Parallel Programming.

Ke Wang, Ning Pan, Jing Jing Tan, 2014, CIT 2014.

Ke Wang, Li Geng, Qingrui Meng, 2008, 2008 IEEE International Conference on Industrial Technology.

Ke Wang, Jian Jun Wang, Qiong Wu, 2014, CIT 2014.

Ke Wang, Jing Lian, Jingsong Yang, 2006, 2006 8th international Conference on Signal Processing.

Qi Li, Ke Wang, Guang Bin Wang, 2011 .

Weimin Ma, Ke Wang, Bofeng Bai, 2013 .

Ke Wang, Xinhong Zhang, 2014, Commun. Nonlinear Sci. Numer. Simul..

Ke Wang, Dongcheng Jin, Linhan Feng, 2012, Proceedings of 2012 International Conference on Measurement, Information and Control.

Kevin Skadron, Mircea R. Stan, Runjie Zhang, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Baocai Yin, Ke Wang, Jintong Guo, 2004, IEEE International Symposium on Communications and Information Technology, 2004. ISCIT 2004..

Zhengyou He, Ke Wang, Zheng Zheng, 2018, IEEE Transactions on Transportation Electrification.

Lei Zhao, Ke Wang, Junshan Gao, 2013, Proceedings of 2013 2nd International Conference on Measurement, Information and Control.

Kevin Skadron, Ke Wang, Jeffrey J. Fox, 2016, 2016 IEEE International Conference on Big Data (Big Data).

Ke Wang, Miao Liu, Jie Zhang, 2010, 2010 International Conference on Computer, Mechatronics, Control and Electronic Engineering.

Yang Guo, Ke Wang, Jingrong Zhao, 2010, 2010 2nd International Conference on Computer Engineering and Technology.

Kevin Skadron, Ke Wang, Mircea Stan, 2016, 2016 22nd International Workshop on Thermal Investigations of ICs and Systems (THERMINIC).

Xiaodong Wang, Ke Wang, Jianli Chang, 2010, Proceedings of the 29th Chinese Control Conference.

Ke Wang, Mark J Rood, Zifeng Yan, 2012, Journal of hazardous materials.