Ho Choi

发表

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2015, IEEE Transactions on Computers.

Joungho Kim, Dong Gun Kam, Ho Choi, 2006, IEEE Design & Test of Computers.

Gabriel H. Loh, Dong Hyuk Woo, D. L. Lewis, 2015, IEEE Transactions on Computers.

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2012, 2012 IEEE International Solid-State Circuits Conference.