Yu Wang

发表

Yu Wang, Xiaoming Chen, Huazhong Yang, 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

Yu Wang, Huazhong Yang, Yuan Xie, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yu Wang, Song Han, William J. Dally, 2017, 2017 IEEE Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).

Yu Wang, Song Yao, Jun Yao, 2018, IEEE Transactions on Emerging Topics in Computing.

Xiaoming Chen, Yu Wang, Huazhong Yang, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yu Wang, Yiran Chen, Yuan Xie, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yu Wang, Yiran Chen, Huazhong Yang, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yuchao Yang, Yu Wang, Ru Huang, 2019, Advanced Materials & Technologies.

Shimeng Yu, Lixue Xia, Yu Cao, 2016, Journal of Computer Science and Technology.

Yu Wang, Jishen Zhao, Shuangchen Li, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Yu Wang, Qinru Qiu, Chunpeng Wu, 2015, 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines.

Tao Zhang, Cong Xu, Yu Wang, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Yu Wang, Huazhong Yang, Wenshuo Li, 2020, NeurIPS.

Huazhong Yang, Yu Wang, Xiaowei Zhou, 2009, 2009 IEEE 8th International Conference on ASIC.

Yu Wang, Yiran Chen, Huazhong Yang, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yu Wang, Yiran Chen, Qinru Qiu, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Wang, Yiran Chen, Huazhong Yang, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

Yu Wang, Huazhong Yang, Yi Cai, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Wang, Huazhong Yang, Lixue Xia, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Wang, Guoliang Li, Huazhong Yang, 2015, 2016 IEEE 32nd International Conference on Data Engineering (ICDE).

Yu Wang, Xiaoming Chen, Huazhong Yang, 2015, IEEE Transactions on Parallel and Distributed Systems.

Yu Wang, Xiaoming Chen, Huazhong Yang, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Wei Wu, Yu Wang, Xiaoming Chen, 2011, IEEE Transactions on Circuits and Systems II: Express Briefs.

Yu Wang, Yao-Wen Chang, Yuan Xie, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Wang, Shimeng Yu, Yu Cao, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Rong Luo, Yu Wang, Huazhong Yang, 2014, 2014 International Symposium on Integrated Circuits (ISIC).

Yu Wang, Huazhong Yang, Jincheng Yu, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yu Wang, Hanbo Sun, Kaizhong Qiu, 2021, 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Yu Wang, Shulin Zeng, Hanbo Sun, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Wang, Yinhe Han, Xiaoming Chen, 2019, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Yu Wang, Huazhong Yang, Jincheng Yu, 2019, 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Yu Wang, Huazhong Yang, Xuefei Ning, 2017, 2017 International Conference on Field Programmable Technology (ICFPT).

Song Han, Song Yao, Huazhong Yang, 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Yu WANG, Rui YUAN, MA Mingyuan, 2020, Science China Information Sciences.

Meng-Fan Chang, Wei-Hao Chen, Yu Wang, 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

Xiaoming Chen, Yu Wang, Huazhong Yang, 2020, 2020 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Yu Wang, Krishnendu Chakrabarty, Lixue Xia, 2020, ACM Trans. Design Autom. Electr. Syst..

Xiaoming Chen, Yu Wang, Xuefei Ning, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Xuefei Ning, Krishnendu Chakrabarty, Yu Wang, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yu Wang, Huazhong Yang, Yuan Xie, 2018, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Yu Wang, Huazhong Yang, Xuefei Ning, 2019, FPGA.

Yu Wang, Song Yao, Huazhong Yang, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Yu Wang, Huazhong Yang, Yuan Xie, 2015, ACM Great Lakes Symposium on VLSI.

Yu Wang, Huazhong Yang, Jincheng Yu, 2017, 1712.08934.

Yu Wang, Huazhong Yang, Yong He, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yu Wang, Huazhong Yang, Yong He, 2010, 2010 IEEE 16th International Conference on Parallel and Distributed Systems.

Krishnendu Chakrabarty, Yu Wang, Lixue Xia, 2018, 2018 IEEE International Test Conference (ITC).

Huazhong Yang, Yu Wang, Xiaoming Chen, 2017 .

Yu Wang, Xiaoming Chen, Yinhe Han, 2019, International Symposium on High-Performance Computer Architecture.

Rong Luo, Yu Wang, Yiran Chen, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Qi Wei, Huazhong Yang, Xuefei Ning, 2018, 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Yu Wang, Huazhong Yang, Yuan Xie, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Yu Wang, Bo Wang, Huazhong Yang, 2010, FPGA '10.

Lixue Xia, Yu Cao, Yuan Xie, 2020, ACM Great Lakes Symposium on VLSI.

Yu Wang, Yidong Huang, Huazhong Yang, 2014, IEEE Design & Test.

Xiaoming Chen, Yu Wang, Yi Cai, 2020, IEEE Transactions on Computers.

Rong Luo, Yu Wang, Huazhong Yang, 2010, IET Comput. Digit. Tech..

Yu Wang, Huazhong Yang, Q. Ding, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Yu Wang, Huazhong Yang, Yongpan Liu, 2021, IEEE Transactions on Circuits and Systems - II - Express Briefs.

Ku He, Rong Luo, Yu Wang, 2011, IEEE Transactions on Dependable and Secure Computing.

Yu Wang, Shimeng Yu, Yu Cao, 2015, Journal of Computer Science and Technology.

Yu Wang, Huazhong Yang, Guohao Dai, 2020, 2020 IEEE High Performance Extreme Computing Conference (HPEC).

Huazhong Yang, Yu Wang, Hui Wang, 2009, 2009 IEEE Circuits and Systems International Conference on Testing and Diagnosis.

Krishnendu Chakrabarty, Yu Wang, Lixue Xia, 2019, 2019 24th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Wang, Narayanan Vijaykrishnan, Yu Cao, 2009, International Journal of Parallel Programming.

Yu Wang, Narayanan Vijaykrishnan, Yu Cao, 2009, 2009 Asia and South Pacific Design Automation Conference.

Yu Wang, Xiaoming Chen, Hui Gao, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yu Wang, Zili Shao, Keni Qiu, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yu Wang, Yu Cao, Xiaoming Chen, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Wang, Xiaoming Chen, Huazhong Yang, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yu Wang, Huazhong Yang, Yi Cai, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yu Wang, Xiaoming Chen, Huazhong Yang, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Huazhong Yang, Yu Wang, Song Han, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Song Han, Song Yao, Huazhong Yang, 2016, 2016 IEEE Hot Chips 28 Symposium (HCS).

Yu Wang, Song Han, Xiaoming Chen, 2018, Design Automation Conference.

Yu Wang, Shulin Zeng, Hanbo Sun, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Huazhong Yang, Yuan Xie, Ming Cheng, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yu Wang, Song Han, Song Yao, 2017, IEEE Micro.

Qiang Xu, Huazhong Yang, Yubin Li, 2016, 2016 26th International Conference on Field Programmable Logic and Applications (FPL).

Huazhong Yang, Yu Wang, Guohao Dai, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Feng Yan, Yu Wang, Bo Wang, 2010, 2010 39th International Conference on Parallel Processing.

Yu Wang, Huazhong Yang, Kaiyuan Guo, 2019, ACM Trans. Reconfigurable Technol. Syst..

Yu Wang, Huazhong Yang, Tianyu Fu, 2020, 2020 IEEE High Performance Extreme Computing Conference (HPEC).

Yu Wang, Xuefei Ning, Guangjun Ge, 2021, ACM Trans. Design Autom. Electr. Syst..

Yu Wang, Huazhong Yang, Yuan Xie, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Wang, Huazhong Yang, Wenqin Huangfu, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Rong Luo, Yu Wang, Huazhong Yang, 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

Yu Wang, Yu Cao, Xiaoming Chen, 2013, IEEE Design & Test.

Hao Jiang, Yu Wang, Yiran Chen, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Rong Luo, Yu Wang, Yiran Chen, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yu Wang, Hanbo Sun, Xiaoming Chen, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Wang, Xiaoming Chen, Huazhong Yang, 2012, DAC Design Automation Conference 2012.

Hao Jiang, Yu Wang, Yiran Chen, 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.

Yu Wang, Yiran Chen, Huazhong Yang, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yu Wang, Huazhong Yang, Xuefei Ning, 2018, 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Wenqiang Wang, Kaiyuan Guo, Yuchun Ma, 2014, 2014 International Conference on Field-Programmable Technology (FPT).

Yu Wang, Lin Wang, Xiaoming Chen, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Rong Luo, Yu Wang, Huazhong Yang, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yu Wang, Huazhong Yang, Jiaxing Zhang, 2014, 2014 International Joint Conference on Neural Networks (IJCNN).

Yu Wang, Lin Wang, Xin Li, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Li Li, Yu Wang, Ning Xu, 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

Shimeng Yu, Lixue Xia, Yu Cao, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yu Wang, Yiran Chen, Hai Li, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yu Wang, Xiaoming Chen, Huazhong Yang, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yu Wang, Xiaoming Chen, Huazhong Yang, 2012, 17th Asia and South Pacific Design Automation Conference.

Xiaoming Chen, Yu Wang, Huazhong Yang, 2017 .

Yu Wang, Xiaoming Chen, Huazhong Yang, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yu Wang, Xiaoming Chen, Huazhong Yang, 2012, 2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum.

Yu Wang, Huazhong Yang, Yuan Xie, 2014, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Yu Zhang, Yu Wang, Kun Wang, 2014, Conf. Computing Frontiers.

Yu Wang, Jia Wang, Qiang Xu, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Shimeng Yu, Yu Cao, Yu Wang, 2015, 2015 IEEE International Electron Devices Meeting (IEDM).

Yu Wang, Huazhong Yang, Wenshuo Li, 2020, ArXiv.

Yu Wang, Xuefei Ning, Huazhong Yang, 2020, ArXiv.

Yu Wang, Chao Yu, Huazhong Yang, 2020, 2020 IEEE 28th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Yu Wang, Ningyi Xu, Jing Yan, 2013, IEEE transactions on circuits and systems for video technology (Print).

Yuchen Hao, Yu Wang, Wayne Luk, 2012, 2012 International Conference on Field-Programmable Technology.

Rong Luo, Yu Wang, Huazhong Yang, 2009, IEICE Trans. Electron..

Ku He, Rong Luo, Yu Wang, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Ku He, Rong Luo, Yu Wang, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Yu Wang, Huazhong Yang, Hui Wang, 2006, J. Circuits Syst. Comput..

Yu Wang, Wayne Luk, Jinian Bian, 2013, 2013 International Conference on Reconfigurable Computing and FPGAs (ReConFig).

Yu Wang, Huazhong Yang, Yi Shan, 2010, 2nd Asia Symposium on Quality Electronic Design (ASQED).