S. Yalamanchili

发表

Sudhakar Yalamanchili, José Duato, Lionel M. Ni, 2002 .

José Duato, Sudhakar Yalamanchili, Patrick T. Gaughan, 1994, Proceedings of 1994 International Conference on Parallel and Distributed Systems.

Karsten Schwan, Sudhakar Yalamanchili, Jeffrey S. Vetter, 2011, Computing in Science & Engineering.

Jake K. Aggarwal, Worthy N. Martin, Sudhakar Yalamanchili, 1982, Comput. Graph. Image Process..

Young-Joo Suh, Sudhakar Yalamanchili, S. Yalamanchili, 1998, IEEE Trans. Parallel Distributed Syst..

K. Shin, S. Yalamanchili, Young-Joo Suh, .

Gregory Diamos, Sudhakar Yalamanchili, G. Diamos, 2008, HPDC '08.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2015, 2015 IEEE International Reliability Physics Symposium.

Sudhakar Yalamanchili, Si Li, Vilas Sridharan, 2016, 2016 IEEE International Reliability Physics Symposium (IRPS).

G. Diamos, S. Yalamanchili, Subramanian Ramaswamy, 2007 .

Jeffrey S. Young, F. Silla, J. Duato, 2009 .

Sudhakar Yalamanchili, Syed Minhaj Hassan, S. M. Hassan, 2016, MEMSYS.

Jake K. Aggarwal, Sudhakar Yalamanchili, J. Aggarwal, 1987, IEEE Transactions on Computers.

William J. Song, S. Mukhopadhyay, S. Yalamanchili, 2012 .

S. Mukhopadhyay, S. Yalamanchili, M. Cho, 2009 .

S. Mukhopadhyay, S. Yalamanchili, M. Cho, 2009 .

Sudhakar Yalamanchili, Worthy N. Martin, Jake K. Aggarwal, 1980 .

Jack Dongarra, Ada Gavrilovska, Karsten Schwan, 2017 .

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Sek M. Chai, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Thuc T. Hoang, Dolores A. Shaffer, Jon C. Hiller, 2014 .

J. Duato, S. Yalamanchili, B. Dao, 1995, Proceedings 22nd Annual International Symposium on Computer Architecture.

William J. Song, S. M. Hassan, S. Mukhopadhyay, 2011 .

S. Yalamanchili, J. K. Aggarwal, J. Aggarwal, 1984 .

J. K. Aggarwal, S. Yalamanchili, J. K. Aggarwal, 1981 .

S. Yalamanchili, J. K. Aggarwal, Soo Yeon Lee, 1985 .

Sudhakar Yalamanchili, Edward Omiecinski, Eileen Tien Lin, 1992, Fifth Generation Computer Systems.

Sudhakar Yalamanchili, Todd Carpenter, S. Yalamanchili, 1996, International Journal in Computer Simulation.

S. Mukhopadhyay, S. Yalamanchili, Bahar Asgari, 2020, Journal of Signal Processing Systems.

Sudhakar Yalamanchili, James O. Hamblen, Henry Owen, 1996, WCAE-2 '96.

Scott Pakin, Sudhakar Yalamanchili, Patrick S. McCormick, 2014, 2014 LLVM Compiler Infrastructure in HPC.

Sudhakar Yalamanchili, Norman Rubin, Jin Wang, 2014, GPGPU@ASPLOS.

Sudhakar Yalamanchili, Michael Garland, Tim Sheard, 2014, CGO '14.

Sudhakar Yalamanchili, Haicheng Wu, Molham Aref, 2014, ADMS@VLDB.

Sudhakar Yalamanchili, Bahar Asgari, Saibal Mukhopadhyay, 2018, ArXiv.

Bahar Asgari, Ramyad Hadidi, Hyesoon Kim, 2020, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Sudhakar Yalamanchili, Bahar Asgari, Ramyad Hadidi, 2019, IEEE Micro.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Sek M. Chai, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Sudhakar Yalamanchili, Wonyong Sung, Hyesoon Kim, 2014, TODE.

Karsten Schwan, Sudhakar Yalamanchili, Emily Angerer Crawford, 1996, Proceedings of SPDP '96: 8th IEEE Symposium on Parallel and Distributed Processing.

Sudhakar Yalamanchili, Hsien-Hsin S. Lee, D. L. Lewis, 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

Jake K. Aggarwal, Sudhakar Yalamanchili, J. Aggarwal, 1985, Pattern Recognit..

Sudhakar Yalamanchili, Arun Rodrigues, Hyojong Kim, 2015, MEMSYS.

S. Yalamanchili, G. Riley, Zhenjiang Dong, 2016, ACM Trans. Model. Comput. Simul..

Jun Wang, Zhenjiang Dong, Sudhakar Yalamanchili, 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Jake K. Aggarwal, Sudhakar Yalamanchili, J. Aggarwal, 1984, Pattern Recognit. Lett..

Sudhakar Yalamanchili, Jeffrey S. Young, S. Yalamanchili, 2010, International Conference on Green Computing.

Sudhakar Yalamanchili, Yorai Wardi, William J. Song, 2015, 2015 IEEE Conference on Control Applications (CCA).

Sudhakar Yalamanchili, Andrew Kerr, Nathan Clark, 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Sudhakar Yalamanchili, Indrani Paul, Wei Huang, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Sudhakar Yalamanchili, Bahar Asgari, Ramyad Hadidi, 2017, 2017 IEEE International Symposium on Workload Characterization (IISWC).

Sudhakar Yalamanchili, S. Yalamanchili, 2011, Encyclopedia of Parallel Computing.

Jake K. Aggarwal, Sudhakar Yalamanchili, J. Aggarwal, 1985, Computer.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Syed Minhaj Hassan, 2015, MEMSYS.

Sudhakar Yalamanchili, Yorai Wardi, Xinwei Chen, 2016, 2016 13th International Workshop on Discrete Event Systems (WODES).

Sudhakar Yalamanchili, Carla Seatzu, Yorai Wardi, 2016, 1601.03799.

Sudhakar Yalamanchili, Yorai Wardi, Xinwei Chen, 2017, Discrete Event Dynamic Systems.

Sudhakar Yalamanchili, X. Chen, Yorai Wardi, 2017, 2017 IEEE 56th Annual Conference on Decision and Control (CDC).

Y. Wardi, S. Yalamanchili, Xinwei Chen, 2017, Discrete Event Dynamic Systems.

Holger Fröning, Karsten Schwan, Sudhakar Yalamanchili, 2013, 2013 IEEE International Conference on Cluster Computing (CLUSTER).

Sudhakar Yalamanchili, Srihari Cadambi, Srimat T. Chakradhar, 2012, 2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum.

Sudhakar Yalamanchili, Eric Anger, Jeremiah J. Wilke, 2016, 2016 4th International Workshop on Energy Efficient Supercomputing (E2SC).

Subramanian Ramaswamy, Sudhakar Yalamanchili, S. Yalamanchili, 2007 .

Sudhakar Yalamanchili, David E. Schimmel, James D. Allen, 1994, Proceedings of International Workshop on Modeling, Analysis and Simulation of Computer and Telecommunication Systems.

Subramanian Ramaswamy, Sudhakar Yalamanchili, S. Yalamanchili, 2006, 2006 International Conference on Computer Design.

Jake K. Aggarwal, Sudhakar Yalamanchili, J. Aggarwal, 1985, Pattern Recognit..

Sudhakar Yalamanchili, Andrew Kerr, Gilbert Hendry, 2012, 2012 19th International Conference on High Performance Computing.

Sudhakar Yalamanchili, Andrew Kerr, Gregory Frederick Diamos, 2010, GPGPU-3.

Sudhakar Yalamanchili, Andrew Kerr, Gregory Frederick Diamos, 2009, 2009 IEEE International Symposium on Workload Characterization (IISWC).

Jun Wang, Zhenjiang Dong, Sudhakar Yalamanchili, 2014, SimuTools.

Jun Wang, Zhenjiang Dong, Sudhakar Yalamanchili, 2013, 2013 IEEE 21st International Symposium on Modelling, Analysis and Simulation of Computer and Telecommunication Systems.

Jun Wang, Sudhakar Yalamanchili, Jesse G. Beu, 2012, 2012 SC Companion: High Performance Computing, Networking Storage and Analysis.

Sudhakar Yalamanchili, Arun Rodrigues, Chad D. Kersey, 2012, RAPIDO '12.

Sudhakar Yalamanchili, Patrick T. Gaughan, P. T. Gaughan, 1995, IEEE Trans. Parallel Distributed Syst..

Sudhakar Yalamanchili, Gilbert Hendry, Damian Dechev, 2015, 2015 IEEE 17th International Conference on High Performance Computing and Communications, 2015 IEEE 7th International Symposium on Cyberspace Safety and Security, and 2015 IEEE 12th International Conference on Embedded Software and Systems.

Torsten Hoefler, Sudhakar Yalamanchili, Rachata Ausavarungnirun, 2018, ASPLOS.

Sudhakar Yalamanchili, David E. Schimmel, Sek M. Chai, 1997, PCRCW.

Sudhakar Yalamanchili, Norman Rubin, Albert Sidelnik, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Jin Wang, Sudhakar Yalamanchili, Norm Rubin, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Sudhakar Yalamanchili, Jin Wang, S. Yalamanchili, 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).

Sudhakar Yalamanchili, Asif Islam Khan, Saibal Mukhopadhyay, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Karsten Schwan, Sudhakar Yalamanchili, Andrew Kerr, 2011, GPGPU-4.

S. Yalamanchili, K. Palem, Subramanian Ramaswamy, 2006, MEDEA '05.

Subramanian Ramaswamy, Sudhakar Yalamanchili, S. Yalamanchili, 2008 .

Sudhakar Yalamanchili, Si Li, Hyesoon Kim, 2013, ACM Trans. Design Autom. Electr. Syst..

Sudhakar Yalamanchili, Yorai Wardi, William J. Song, 2012, 2012 IEEE 51st IEEE Conference on Decision and Control (CDC).

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Taesik Na, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sudhakar Yalamanchili, Hyesoon Kim, Chad D. Kersey, 2017, MEMSYS.

Karsten Schwan, Sudhakar Yalamanchili, Daniela Rosu, 1998, Proceedings. Fourth IEEE Real-Time Technology and Applications Symposium (Cat. No.98TB100245).

Sudhakar Yalamanchili, Andrew Kerr, Gregory Diamos, 2012 .

Sudhakar Yalamanchili, Andrew Kerr, Haicheng Wu, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Sudhakar Yalamanchili, Syed Minhaj Hassan, S. M. Hassan, 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

Sudhakar Yalamanchili, Srihari Cadambi, Haicheng Wu, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Sudhakar Yalamanchili, Indrani Paul, Adam McLaughlin, 2014 .

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2006, J. Parallel Distributed Comput..

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2005, IEEE Transactions on Parallel and Distributed Systems.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2003, Proceedings International Parallel and Distributed Processing Symposium.

Sudhakar Yalamanchili, José Duato, Indrani Paul, 2002, HiPC.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2002, 2002 IEEE Workshop on Multimedia Signal Processing..

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2002, Proceedings 16th International Parallel and Distributed Processing Symposium.

Sudhakar Yalamanchili, S. Yalamanchili, 2011, Encyclopedia of Parallel Computing.

Sudhakar Yalamanchili, José Duato, J. Duato, 2000, Lecture Notes in Computer Science.

J. Duato, S. Yalamanchili, L.M. Ni, 1997 .

Karsten Schwan, Sudhakar Yalamanchili, Daniela Rosu, 1997, Proceedings Real-Time Systems Symposium.

Satish Kumar, Sudhakar Yalamanchili, Saibal Mukhopadhyay, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2012 .

Michael L. Scott, Gerrit Muller Buskerud, H. Bungartz, 2011, Encyclopedia of Parallel Computing.

Sudhakar Yalamanchili, Yorai Wardi, William J. Song, 2012, 2012 American Control Conference (ACC).

Sudhakar Yalamanchili, Yorai Wardi, Nawaf I. Almoosa, 2010, IEEE ICCA 2010.

Young-Joo Suh, Sudhakar Yalamanchili, José Duato, 2000, IEEE Trans. Parallel Distributed Syst..

Sudhakar Yalamanchili, James O. Hamblen, H. L. Owen, 1999 .

Sudhakar Yalamanchili, Andrew Kerr, Gregory Frederick Diamos, 2012, CGO '12.

Sudhakar Yalamanchili, Gregory Frederick Diamos, G. Diamos, 2010, 2010 IEEE International Symposium on Parallel & Distributed Processing (IPDPS).

Sudhakar Yalamanchili, Craig Ulmer, S. Yalamanchili, 2000, PDPTA.

Sudhakar Yalamanchili, Rakesh Jha, Karsten Schwan, 1996, Proceedings of 3rd International Conference on High Performance Computing (HiPC).

Jake K. Aggarwal, S. Yalamanchili, J. Aggarwal, 1993 .

Sudhakar Yalamanchili, Jeffrey Young, Jeffrey M. Young, 2009 .

Sudhakar Yalamanchili, Christopher H. de Castro, S. Yalamanchili, 1994, International Journal in Computer Simulation.

Sudhakar Yalamanchili, S. Yalamanchili, 1991 .

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2003, International Conference on Parallel and Distributed Processing Techniques and Applications.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2015, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Taesik Na, 2017, ArXiv.