L. Peh

发表

Li Shang, Niraj K. Jha, Li-Shiuan Peh, 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

Natalie D. Enright Jerger, Li-Shiuan Peh, N. E. Jerger, 2009, On-Chip Networks.

Margaret Martonosi, Li-Shiuan Peh, Emmanouil Koukoumidis, 2011, MobiSys '11.

Li Shang, Niraj K. Jha, Amit Kumar, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sharad Malik, Li-Shiuan Peh, Hangsheng Wang, 2003, MICRO.

Mun Choon Chan, Padmanabha Venkatagiri Seshadri, Akkihebbal L. Ananda, 2012, SenSys '12.

Chen Sun, George Kurian, Vladimir Stojanovic, 2012, 2012 IEEE 26th International Parallel and Distributed Processing Symposium.

William J. Dally, John D. Owens, Ron Ho, 2007, IEEE Micro.

M. Erez, L. Peh, Amit Kumar, 2009, IEEE Micro.

Niraj K. Jha, Li-Shiuan Peh, Niket Agarwal, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

Pravein G. Kannan, A. L. Ananda, Seshadri Padmanabha Venkatagiri, 2012 .

Anantha P. Chandrakasan, Li-Shiuan Peh, Chia-Hsin Owen Chen, 2013 .

T. Mitra, L. Peh, Manupa Karunaratne, 2017, Encyclopedic Dictionary of Archaeology.

Simon Oh, Moshe Ben-Akiva, Tomer Toledo, 2017 .

Pravein G. Kannan, A. L. Ananda, Seshadri Padmanabha Venkatagiri, 2012 .

Yan Xu, Tomer Toledo, Runmin Xu, 2013, 16th International IEEE Conference on Intelligent Transportation Systems (ITSC 2013).

Anantha Chandrakasan, Bhavya K. Daya, Li-Shiuan Peh, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Li-Shiuan Peh, Chia-Hsin Owen Chen, Tushar Krishna, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Tulika Mitra, Li-Shiuan Peh, Manupa Karunaratne, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Mun Choon Chan, Li-Shiuan Peh, Huayong Wang, 2012, 2012 IEEE 26th International Parallel and Distributed Processing Symposium.

Li-Shiuan Peh, Tushar Krishna, L. Peh, 2014, 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

Li-Shiuan Peh, Tushar Krishna, Bradford M. Beckmann, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Niraj K. Jha, Li-Shiuan Peh, Tushar Krishna, 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.

Niraj K. Jha, Amit Kumar, Li-Shiuan Peh, 2007, ISCA '07.

Sharad Malik, Li-Shiuan Peh, Hangsheng Wang, 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

Li-Shiuan Peh, Chien-Chun Chou, Konstantinos Aisopos, 2008, CODES+ISSS '08.

Burin Amornpaisannon, Trevor E. Carlson, Andreas Diavastos, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Andrew B. Kahng, Li-Shiuan Peh, Kambiz Samadi, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Natalie D. Enright Jerger, Li-Shiuan Peh, Tushar Krishna, 2017, Synthesis Lectures on Computer Architecture.

Li-Shiuan Peh, Vassos Soteriou, Noel Eisley, 2005, CASES '05.

Natalie D. Enright Jerger, Radu Marculescu, Ümit Y. Ogras, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Li-Shiuan Peh, Woo-Cheol Kwon, L. Peh, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Li-Shiuan Peh, Vassos Soteriou, Noel Eisley, 2006, CASES '06.

Yong Wang, Margaret Martonosi, Li-Shiuan Peh, 2006, CHANTS '06.

Natalie D. Enright Jerger, Mikko H. Lipasti, L. Peh, 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).

Sharad Malik, Li-Shiuan Peh, Xinping Zhu, 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

Li Shang, Niraj K. Jha, Amit Kumar, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Yong Wang, Margaret Martonosi, Li-Shiuan Peh, 2007, MOCO.

Li-Shiuan Peh, Sushmit Goswami, Pilsoon Choi, 2014, 2014 IEEE International Electron Devices Meeting.

Chirn Chye Boon, Li-Shiuan Peh, Pilsoon Choi, 2017, IEEE Microwave and Wireless Components Letters.

Hae-Seung Lee, Sushmit Goswami, Li-Shiuan Peh, 2015, IEEE Transactions on Microwave Theory and Techniques.

Tomás Palacios, Li-Shiuan Peh, Pilsoon Choi, 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

Andrew B. Kahng, Li-Shiuan Peh, Kambiz Samadi, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Anantha Chandrakasan, Bhavya K. Daya, Li-Shiuan Peh, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Li Shang, Li-Shiuan Peh, Noel Eisley, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Li-Shiuan Peh, Anirudh Sivaraman, Niket Agarwal, 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).

Li Shang, Niraj K. Jha, Amit Kumar, 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).

Yong Wang, Margaret Martonosi, Li-Shiuan Peh, 2006, REALMAN '06.

Yong Wang, Margaret Martonosi, Li-Shiuan Peh, 2006, 2006 3rd Annual IEEE Communications Society on Sensor and Ad Hoc Communications and Networks.

Chen Sun, George Kurian, Vladimir Stojanovic, 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

Sharad Malik, Kurt Keutzer, David I. August, 2002, EMSOFT.

Bill Lin, Li-Shiuan Peh, Vassos Soteriou, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Anantha Chandrakasan, Bhavya K. Daya, Li-Shiuan Peh, 2014, IEEE Hot Chips Symposium.

Yong Wang, Margaret Martonosi, Li-Shiuan Peh, 2005, SenSys '05.

Anantha Chandrakasan, Li-Shiuan Peh, Chia-Hsin Owen Chen, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Li-Shiuan Peh, Noel Eisley, L. Peh, 2004, CASES '04.

Li-Shiuan Peh, L. Peh, Xuning Chen, 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..

Li-Shiuan Peh, Li Zhao, Seung Eun Lee, 2011, J. Parallel Distributed Comput..

Li-Shiuan Peh, Li Zhao, Ravi R. Iyer, 2012, TACO.

Anantha Chandrakasan, Bhavya K. Daya, Li-Shiuan Peh, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Li-Shiuan Peh, Vassos Soteriou, L. Peh, 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

Bill Lin, Li-Shiuan Peh, Vassos Soteriou, 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.

Li Shang, Niraj K. Jha, Li-Shiuan Peh, 2002, IEEE Computer Architecture Letters.

Li-Shiuan Peh, Konstantinos Aisopos, L. Peh, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Natalie D. Enright Jerger, Mikko H. Lipasti, Li-Shiuan Peh, 2008, 2008 International Symposium on Computer Architecture.

Anantha Chandrakasan, Bhavya K. Daya, Li-Shiuan Peh, 2012, DAC Design Automation Conference 2012.

William J. Dally, Li-Shiuan Peh, W. Dally, 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

William J. Dally, Li-Shiuan Peh, W. Dally, 2000, Proceedings Sixth International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No.PR00550).

Sriram R. Vangal, Li-Shiuan Peh, Stephen W. Keckler, 2017 .

Li-Shiuan Peh, Sriram Vangal, Stephen W. Keckler, 2009, Multicore Processors and Systems.

Natalie D. Enright Jerger, Bruce Jacob, Li-Shiuan Peh, 2009 .

Margaret Martonosi, Li-Shiuan Peh, Emmanouil Koukoumidis, 2012, IEEE Transactions on Mobile Computing.

Mun Choon Chan, Akkihebbal L. Ananda, Li-Shiuan Peh, 2014, SenSys.

Li-Shiuan Peh, Vassos Soteriou, Hangsheng Wang, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Natalie D. Enright Jerger, L. Peh, T. Krishna, 2017 .

Natalie D. Enright Jerger, L. Peh, 2009, Synthesis Lectures on Computer Architecture.

Sharad Malik, Li-Shiuan Peh, Hangsheng Wang, 2005, Design, Automation and Test in Europe.

Hian Ann Christopher Ting, L. S. Peh, L. Peh, 1996 .

Li-Shiuan Peh, Christopher Ting Hian Ann, L. Peh, 1996, ArXiv.

Li-Shiuan Peh, L. Peh, 2005, ICPADS.

Tulika Mitra, Li-Shiuan Peh, Cheng Tan, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Li-Shiuan Peh, Valeria Bertacco, Andrew DeOrio, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).