Hsiang-Yun Cheng

发表

Mary Jane Irwin, Jack Sampson, Hsiang-Yun Cheng, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Hsiang-Yun Cheng, Ting Wu, Chin-Fu Nien, 2022, 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Hsiang-Yun Cheng, Chia-Lin Yang, Bo-Rong Lin, 2022, 2022 IEEE 11th Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Hsiang-Yun Cheng, Meng-Fan Chang, Chia-Lin Yang, 2022, ACM Transactions on Embedded Computing Systems.

Hsiang-Yun Cheng, Yi-Jung Chen, Shao-Fu Lin, 2023, 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Kuan-Hsun Chen, Hsiang-Yun Cheng, Chia-Lin Yang, 2022, Asia and South Pacific Design Automation Conference.

Chia-Lin Yang, Hsiang-Pang Li, Hung-Sheng Chang, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Meng-Fan Chang, Chia-Lin Yang, Wei-Ting Lin, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Tei-Wei Kuo, Jian-Jia Chen, Chia-Lin Yang, 2021, Design, Automation and Test in Europe.

Mary Jane Irwin, Yuan Xie, Jishen Zhao, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Hsiang-Yun Cheng, Chin-Fu Nien, Hung-Yu Yeh, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Jian Li, Chia-Lin Yang, Hsiang-Yun Cheng, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Mary Jane Irwin, Yuan Xie, Hsiang-Yun Cheng, 2015, ACM Trans. Design Autom. Electr. Syst..