N. Dutt

发表

Nikil D. Dutt, Alexandru Nicolau, Asheesh Khare, 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

Erik Brockmeyer, Nikil D. Dutt, Francky Catthoor, 2001, TODE.

Hiroyuki Tomiyama, Nikil Dutt, Ashok Halambi, 1999 .

Nikil D. Dutt, Sudeep Pasricha, Mohamed Ben-Romdhane, 2004, Proceedings. 41st Design Automation Conference, 2004..

Nikil D. Dutt, Sudeep Pasricha, S. Pasricha, 2008, 2008 Asia and South Pacific Design Automation Conference.

Nikil D. Dutt, Sudeep Pasricha, S. Pasricha, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Nikil Dutt, Elaheh Bozorgzadeh, Sudeep Pasricha, 2006 .

J. Krichmar, N. Dutt, M. Beyeler, 2017, 1702.06665.

S. Pasricha, N. Dutt, L. Bathen, 2011 .

N. Dutt, T. Pahikkala, P. Liljeberg, 2017, ACM Trans. Embed. Comput. Syst..

S. Pasricha, N. Dutt, M. Ben-Romdhane, 2005 .

Daniel Massaguer, S. Mehrotra, N. Venkatasubramanian, 2007 .

N. Dutt, M. Shoushtari, A. Banaiyan, 2014 .

N. Dutt, A. Gordon-Ross, F. Vahid, 2009, IEEE Trans. Very Large Scale Integr. Syst..

Rajesh K. Gupta, A. Nicolau, N. Dutt, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Nikil D. Dutt, Rosario Cammarota, Hamid Nejatollahi, 2018, IACR Cryptol. ePrint Arch..

Nikil Dutt, Sandip Ray, Francesco Regazzoni, 2019 .

Nikil Dutt, Sandip Ray, Francesco Regazzoni, 2017 .

Nikil D. Dutt, Nikhil Bansal, Prabhat Mishra, 2003, First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).

Nikil D. Dutt, Daniel Gajski, Allen C.-H. Wu, 1992 .

Fadi J. Kurdahi, Nikil Dutt, Rolf Ernst, 2018, Proceedings of the IEEE.

Nikil D. Dutt, Marco Levorato, Amir M. Rahmani, 2020, ACM Trans. Internet Things.

Nikil D. Dutt, Daniel Gajski, D. Gajski, 1992, Synthesis for Control Dominated Circuits.

Aviral Shrivastava, Alexandru Nicolau, Nikil Dutt, 2003 .

Nikil Dutt, Sung-Soo Lim, Anil Kanduri, 2021, 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS).

N. Dutt, Hamid Nejatollahi, Rosario Cammarota, 2017, CODES+ISSS.

Nikil D. Dutt, Allen C.-H. Wu, N. Dutt, 2000, IEEE Trans. Very Large Scale Integr. Syst..

N. Dutt, Kiyoung Choi, Jongeun Lee, 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..

Nalini Venkatasubramanian, Nikil Dutt, Santanu Sarma, 2015 .

N. Dutt, Tao-Yi Lee, Khuong Vo, 2020, Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design.

Eric O. Scott, J. Krichmar, N. Dutt, 2022, 2022 International Joint Conference on Neural Networks (IJCNN).

N. Dutt, Saehanseul Yi, Dongjoo Seo, 2022, 2022 IEEE Real-Time Systems Symposium (RTSS).

Alexandru Nicolau, Preeti Ranjan Panda, Nikil Dutt, 1999 .

Nagarajan Kandasamy, Nikil D. Dutt, Francky Catthoor, 2021, ACM J. Emerg. Technol. Comput. Syst..

Nagarajan Kandasamy, Francky Catthoor, Nikil Dutt, 2021, IEEE Embedded Systems Letters.

N. Dutt, Andrea Capitanic, A. Nicolau, 2015 .

David J. Kolson, K. Kennedy, A. Nicolau, 1996, TODE.

Jan Reineke, Nikil Dutt, Peter Marwedel, 2009 .

Nikil Dutt, Michael Beyeler, Kristofor D. Carlson, 2019, PLoS Comput. Biol..

Nikil Dutt, Michael Beyeler, Jeffrey L Krichmar, 2016, The Journal of Neuroscience.

Nikil D. Dutt, Jeffrey L. Krichmar, Philippe Coussy, 2015, ACM J. Emerg. Technol. Comput. Syst..

Nikil D. Dutt, Jeffrey L. Krichmar, Ting-Shuo Chou, 2015, 2015 International Joint Conference on Neural Networks (IJCNN).

Nikil D. Dutt, Jeffrey L. Krichmar, Michael Beyeler, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Nagarajan Kandasamy, Francky Catthoor, Nikil Dutt, 2021, IEEE Transactions on Parallel and Distributed Systems.

Nikil D. Dutt, Giacomo Indiveri, Jeffrey L. Krichmar, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Nikil D. Dutt, Jeffrey L. Krichmar, Ting-Shuo Chou, 2018, 2018 International Joint Conference on Neural Networks (IJCNN).

Nikil D. Dutt, Kamal S. Khouri, Magdy S. Abadir, 2003, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Nikil Dutt, Mahesh Mamidipaka, Magdy S. Abadir, 2003 .

Nikil D. Dutt, Kamal S. Khouri, Mahesh Mamidipaka, 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

Nikil D. Dutt, Alexandru Nicolau, Preeti Ranjan Panda, 2000, TODE.

Kiyoung Choi, Nikil D. Dutt, Jongeun Lee, 2003, LCTES '03.

Nikil Dutt, Jeffrey L. Krichmar, Kristofor D. Carlson, 2017, bioRxiv.

Nikil D. Dutt, Jeffrey L. Krichmar, Emre Neftci, 2018, 2018 International Joint Conference on Neural Networks (IJCNN).

Nikil D. Dutt, Pasi Liljeberg, Iman Azimi, 2021, Sensors.

Nikil Dutt, Gillian R. Hayes, Lucretia Williams, 2020, CHI Extended Abstracts.

Jianwen Zhu, Nikil Dutt, N. Dutt, 2009 .

Nikil D. Dutt, Pradip K. Jha, N. Dutt, 2000, TODE.

Nikil D. Dutt, Alexandru Nicolau, David J. Kolson, 1994, IEEE/ACM International Conference on Computer-Aided Design.

Nikil D. Dutt, Sandip Ray, Francesco Regazzoni, 2019, ACM Comput. Surv..

Nikil D. Dutt, Nalini Venkatasubramanian, Carolyn L. Talcott, 2012, TECS.

Christoforos E. Kozyrakis, Francky Catthoor, Vijay Nagasamy, 1998, ICCAD '98.

Nikil D. Dutt, Jeffrey L. Krichmar, Michael Beyeler, 2015, Neural Networks.

Nikil Dutt, Michael C. Avery, Jeffrey L Krichmar, 2014, The European journal of neuroscience.

Nikil D. Dutt, Jeffrey L. Krichmar, Michael C. Avery, 2012, The 2012 International Joint Conference on Neural Networks (IJCNN).

Nikil D. Dutt, Jeffrey L. Krichmar, Michael C. Avery, 2013, Front. Comput. Neurosci..

Nikil D. Dutt, Alexandru Nicolau, Radu Cornea, 2006, 2006 IEEE/ACM/IFIP Workshop on Embedded Systems for Real Time Multimedia.

Nikil D. Dutt, Alexander V. Veidenbaum, Alexandru Nicolau, 2009, 2009 International Joint Conference on Neural Networks.

Norbert Wehn, Mehdi Baradaran Tahoori, Puneet Gupta, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Nikil D. Dutt, Kenshu Seto, Hamid Nejatollahi, 2019, 20th International Symposium on Quality Electronic Design (ISQED).

Nikil D. Dutt, Jeffrey L. Krichmar, Michael Beyeler, 2014, Neuroinformatics.

Nikil D. Dutt, Chris Van Hoof, Francky Catthoor, 2017, Neural Networks.

Nikil D. Dutt, Alexandru Nicolau, Preeti Ranjan Panda, 1997, Proceedings European Design and Test Conference. ED & TC 97.

Nikil D. Dutt, Alexandru Nicolau, David J. Kolson, 1998, LCPC.

Nikil D. Dutt, Alexandru Nicolau, David J. Kolson, 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Alexandru Nicolau, Nikil Dutt, David J. Kolson, 1995 .

Nikil D. Dutt, Alexandru Nicolau, David J. Kolson, 1994, 31st Design Automation Conference.

Nikil D. Dutt, Alexandru Nicolau, Andrea Capitanio, 1995, International Journal of Parallel Programming.

Ken Kennedy, Nikil D. Dutt, Alexandru Nicolau, 1996, Proceedings of International Conference on Parallel Processing.

Nikil D. Dutt, Jeffrey L. Krichmar, Jayram Moorkanikara Nageswaran, 2010, 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip.

Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Pasi Liljeberg, Nikil Dutt, Amir M. Rahmani, 2019, ANT/EDI40.

Nikil Dutt, Sina Labbaf, Hee Jeong Han, 2020, Journal of medical engineering & technology.

Daniel D. Gajski, Nikil Dutt, Allen C.-H. Wu, 1992 .

Lara Dolecek, Puneet Gupta, Nikil D. Dutt, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Fateme S. Hosseini, Kamalika Chaudhuri, F. Koushanfar, 2020, ArXiv.

J. Henkel, N. Dutt, Bryan Donyanavard, 2016, ACM Trans. Embed. Comput. Syst..

Nikil Dutt, Jeffrey L. Krichmar, Jayram Moorkanikara Nageswaran, 2014, Front. Neurosci..

Nikil D. Dutt, Jeffrey L. Krichmar, Jayram Moorkanikara Nageswaran, 2013, 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Nikil D. Dutt, Jeffrey L. Krichmar, Kristofor D. Carlson, 2013, The 2013 International Joint Conference on Neural Networks (IJCNN).

Nikil D. Dutt, Francky Catthoor, Sven Wuytack, 2001, IEEE Des. Test Comput..

Nikil Dutt, Sudeep Pasricha, S. Pasricha, 2008 .

Nikil D. Dutt, Fadi J. Kurdahi, Sudeep Pasricha, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Nikil D. Dutt, Fadi J. Kurdahi, Sudeep Pasricha, 2009, 2009 22nd International Conference on VLSI Design.

Nikil D. Dutt, Fadi J. Kurdahi, Sudeep Pasricha, 2008, 2008 IEEE International Symposium on Nanoscale Architectures.

Puneet Gupta, Nikil D. Dutt, Alexandru Nicolau, 2015, IEEE Transactions on Computers.

Axel Jantsch, Nikil Dutt, Henry Hoffmann, 2020, Proceedings of the IEEE.

Axel Jantsch, Nikil Dutt, Amir M. Rahmani, 2017, IEEE Design & Test.

Axel Jantsch, Nikil D. Dutt, Pasi Liljeberg, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Nikil Dutt, Amir M Rahmani, Milad Asgari Mehrabadi, 2020, 2021 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society (EMBC).

Axel Jantsch, Bryan Donyanavard, Nikil Dutt, 2020, ArXiv.

N. Dutt, A. Rahmani, M. Imani, 2022, 2022 IEEE 40th International Conference on Computer Design (ICCD).

Nikil D. Dutt, Marco Levorato, Nalini Venkatasubramanian, 2015, 2015 Information Theory and Applications Workshop (ITA).

Nikil D. Dutt, Bryan Donyanavard, Santanu Sarma, 2016, 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Axel Jantsch, Nikil D. Dutt, Pasi Liljeberg, 2019, Found. Trends Electron. Des. Autom..

Mingzhe Jiang, Nikil D. Dutt, Pasi Liljeberg, 2020, SAC.

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

N. Dutt, S. Salanterä, P. Liljeberg, 2021, JMIR mHealth and uHealth.

K. Kennedy, A. Nicolau, N. Dutt, 1996, TODE.

Nikil D. Dutt, Tony Givargis, Marcio Buss, 2003, RTSS 2003. 24th IEEE Real-Time Systems Symposium, 2003.

Nikil D. Dutt, Alexandru Nicolau, Preeti Ranjan Panda, 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

A. Nicolau, N. Dutt, A. Capitanio, 1992, [1992] Proceedings the 25th Annual International Symposium on Microarchitecture MICRO 25.

Alexandru Nicolau, Nikil Dutt, Andrea Capitanio, 1992 .

Nikil D. Dutt, Alexandru Nicolau, Preeti Ranjan Panda, 1997, Proceedings. Tenth International Symposium on System Synthesis (Cat. No.97TB100114).

L. Marcenaro, C. Regazzoni, N. Dutt, 2023, 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Axel Jantsch, Nikil D. Dutt, Pasi Liljeberg, 2018, 2018 21st Euromicro Conference on Digital System Design (DSD).

Nikil D. Dutt, Majid Namaki-Shoushtari, Santanu Sarma, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Axel Jantsch, Nikil D. Dutt, Santanu Sarma, 2016, ACM Trans. Embed. Comput. Syst..

Axel Jantsch, Nikil D. Dutt, Santanu Sarma, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Puneet Gupta, Nikil D. Dutt, Nalini Venkatasubramanian, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Puneet Gupta, Nikil D. Dutt, Nalini Venkatasubramanian, 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Nikil Dutt, Sudeep Pasricha, S. Pasricha, 2008 .

LiljebergPasi, DuttNikil, AnzanpourArman, 2020, ACM Trans. Internet Things.

Nagarajan Kandasamy, Francky Catthoor, Nikil Dutt, 2020, IEEE Embedded Systems Letters.

Yunheung Paek, Nikil D. Dutt, Ilya Issenin, 2007, CASES '07.

Nikil D. Dutt, Paolo Ienne, Laura Pozzi, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Nikil D. Dutt, Kasra Moazzemi, Chen-Ying Hsieh, 2016, 2016 International Symposium on Rapid System Prototyping (RSP).

Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Pasi Liljeberg, Nikil Dutt, Amir M. Rahmani, 2019, IEEE Access.

W. Kent Fuchs, Wen-mei W. Hwu, Shyh-Kwei Chen, 1994, 1994 International Conference on Parallel Processing Vol. 1.

Nikil Dutt, Prabhat Mishra, N. Dutt, 2008 .

Nikil D. Dutt, Sudeep Pasricha, Gabor Madl, 2006, EMSOFT '06.

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Rajesh Gupta, Nikil Dutt, Sumit Gupta, 2003, IEE Proceedings - Computers and Digital Techniques.

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2003, Proceedings 21st International Conference on Computer Design.

A. Nicolau, Rajesh K. Gupta, T. Kam, 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

Nikil D. Dutt, Sri Parameswaran, Pradip K. Jha, 1995, ASP-DAC '95.

Nikil D. Dutt, Alexandru Nicolau, Frederick Onion, 1995, Proceedings the European Design and Test Conference. ED&TC 1995.

Nikil D. Dutt, Pradip K. Jha, N. Dutt, 1994, Proceedings of 7th International Conference on VLSI Design.

Fadi J. Kurdahi, Nikil Dutt, Champaka Ramachandran, 1994, Proceedings of 7th International Conference on VLSI Design.

Nikil D. Dutt, Alexandru Nicolau, David J. Kolson, 1994, Proceedings of 7th International Conference on VLSI Design.

A. Nicolau, H. Wang, N. Dutt, 1993, Proceedings of EURO-DAC 93 and EURO-VHDL 93- European Design Automation Conference.

Nikil D. Dutt, Pradip K. Jha, N. Dutt, 1993, IEEE Trans. Very Large Scale Integr. Syst..

Nikil D. Dutt, Alexandru Nicolau, Haigeng Wang, 1993, The Sixth International Conference on VLSI Design.

Nikil D. Dutt, Roger P. Ang, N. Dutt, 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

Nikil D. Dutt, Alexandru Nicolau, Haigeng Wang, 1992, Proceedings EURO-DAC '92: European Design Automation Conference.

Nikil Dutt, Peter Conradi, N. Dutt, 1995 .

Nikil D. Dutt, Peter Wilson, Brian Kahne, 2005, 2005 Sixth International Workshop on Microprocessor Test and Verification.

Nikil D. Dutt, Prabhat Mishra, N. Dutt, 2005, Design, Automation and Test in Europe.

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2004, TODE.

Nikil D. Dutt, Prabhat Mishra, N. Dutt, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Nikil D. Dutt, Prabhat Mishra, Mahesh Mamidipaka, 2004, TECS.

Nikil D. Dutt, Prabhat Mishra, Arun Kejariwal, 2004, 17th International Conference on VLSI Design. Proceedings..

Nikil D. Dutt, Prabhat Mishra, Mehrdad Reshadi, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Nikil D. Dutt, Hiroyuki Tomiyama, Prabhat Mishra, 2003, Des. Autom. Embed. Syst..

Nikil D. Dutt, Hiroyuki Tomiyama, Alexandru Nicolau, 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

N. Dutt, N. Dutt, D. Hirschberg, 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).

Nikil D. Dutt, Alexandru Nicolau, Asheesh Khare, 2001, Proceedings 25th EUROMICRO Conference. Informatics: Theory and Practice for the New Millennium.

Nikil D. Dutt, Alexandru Nicolau, Peter Grun, 2000, Proceedings 37th Design Automation Conference.

Nikil Dutt, Ashok Halambi, Peter Grun, 1999, Proceedings 12th International Symposium on System Synthesis.

Alexandru Nicolau, Preeti Ranjan Panda, Nikil Dutt, 1998 .

Nikil Dutt, Prabhat Mishra, N. Dutt, 2004 .

Aviral Shrivastava, Aviral Shrivastava, Alexandru Nicolau, 2001 .

Rainer Leupers, Nikil Dutt, Prabhat Mishra, 2016, EDA for IC System Design, Verification, and Testing.

Nikil D. Dutt, Prabhat Mishra, Mehrdad Reshadi, 2006, TECS.

Nikil Dutt, Prabhat Mishra, N. Dutt, 2007 .

Nikil D. Dutt, Elaheh Bozorgzadeh, Sudeep Pasricha, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Nikil D. Dutt, Jeffrey L. Krichmar, Jayram Moorkanikara Nageswaran, 2011, Front. Neuroinform..

Nikil D. Dutt, Jeffrey L. Krichmar, Jayram Moorkanikara Nageswaran, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Nikil D. Dutt, Mohsen Imani, Tajana Simunic, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Nikil D. Dutt, Bryan Donyanavard, Dongjoo Seo, 2021, ACM Trans. Embed. Comput. Syst..

Nikil D. Dutt, Preeti Ranjan Panda, N. Dutt, 2002, HiPC.

Erik Brockmeyer, Nikil D. Dutt, Francky Catthoor, 2001, IEEE Des. Test Comput..

Nikil D. Dutt, Sudeep Pasricha, Luis Angel D. Bathen, 2009, 2009 IEEE/ACM/IFIP 7th Workshop on Embedded Systems for Real-Time Multimedia.

Nikil D. Dutt, Sudeep Pasricha, Luis Angel D. Bathen, 2008, 2008 IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia.

Yunheung Paek, Nikil D. Dutt, Sudeep Pasricha, 2008, LCTES '08.

Erik Brockmeyer, Nikil D. Dutt, Ilya Issenin, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Nikil D. Dutt, Ilya Issenin, N. Dutt, 2005, Design, Automation and Test in Europe.

Erik Brockmeyer, Nikil D. Dutt, Miguel Corbalan, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Lei Yang, Nikil Dutt, Weichen Liu, 2019, IEEE Transactions on Computers.

Wei Zhang, Nikil Dutt, Weichen Liu, 2018, IEEE Transactions on Computers.

Axel Jantsch, Nikil D. Dutt, Pasi Liljeberg, 2019, ACM Great Lakes Symposium on VLSI.

Aviral Shrivastava, Nikil D. Dutt, Nalini Venkatasubramanian, 2006, CASES '06.

Gert Cauwenberghs, Nikil D. Dutt, Jeffrey L. Krichmar, 2017, Front. Neurosci..

N. Dutt, Dongjoo Seo, Huaicheng Li, 2023, HotStorage.

Nikil D. Dutt, Sudeep Pasricha, S. Pasricha, 2008, IPSJ Trans. Syst. LSI Des. Methodol..

Nikil D. Dutt, Nalini Venkatasubramanian, Alexandru Nicolau, 2007, IEEE Journal on Selected Areas in Communications.

Nikil Dutt, Sudeep Pasricha, S. Pasricha, 2008 .

Nikil Dutt, Sudeep Pasricha, S. Pasricha, 2008 .

Nikil D. Dutt, Bruno Zatt, Antonio Miele, 2018, 2018 VIII Brazilian Symposium on Computing Systems Engineering (SBESC).

Nikil Dutt, Francesco Regazzoni, Subhadeep Banik, 2020, ACM Trans. Embed. Comput. Syst..

Pasi Liljeberg, Nikil Dutt, Iman Azimi, 2021, Inf. Syst..

Nikil D. Dutt, Fadi J. Kurdahi, Jun Yong Shin, 2015, Sixteenth International Symposium on Quality Electronic Design.

Nikil Dutt, Rosario Cammarota, Hamid Nejatollahi, 2017, 2017 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Nikil Dutt, Amir M. Rahmani, Sina Shahhosseini, 2019, 2019 IEEE/ACM International Conference on Connected Health: Applications, Systems and Engineering Technologies (CHASE).

Nikil D. Dutt, Elaheh Bozorgzadeh, Sudarshan Banerjee, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

J. Krichmar, N. Dutt, F. Catthoor, 2020, 2020 International Joint Conference on Neural Networks (IJCNN).

Nikil Dutt, Gu Min Jeong, Chang Woo Park, 2016, Int. J. Comput. Commun. Control.

Nikil Dutt, Amir M. Rahmani, Hung Cao, 2020, 2020 42nd Annual International Conference of the IEEE Engineering in Medicine & Biology Society (EMBC).

Nikil D. Dutt, Nalini Venkatasubramanian, Sudeep Pasricha, 2004, IEEE Design & Test of Computers.

Nikil Dutt, Sudeep Pasricha, S. Pasricha, 2008 .

Nikil D. Dutt, Marco Levorato, Pasi Liljeberg, 2018, 2018 IEEE Global Communications Conference (GLOBECOM).

Nikil D. Dutt, Nima Taherinejad, N. Dutt, 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).

Nikil D. Dutt, Daniel Gajski, D. Gajski, 1990, IEEE Design & Test of Computers.

Nikil Dutt, Rosario Cammarota, Hamid Nejatollahi, 2020, ICASSP 2020 - 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Kyungtae Kang, Jong-Chan Kim, Nikil Dutt, 2020, 2020 IEEE Real-Time Systems Symposium (RTSS).

Nikil Dutt, Ardalan Amiri Sani, Chenying Hsieh, 2019, 2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration (VLSI-SoC).

Nikil Dutt, Ardalan Amiri Sani, Chen-Ying Hsieh, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Nikil Dutt, Prabhat Mishra, N. Dutt, 2008 .

Nikil Dutt, Hamid Nejatollahi, Rosario Cammarota, 2019, 2019 IEEE 37th International Conference on Computer Design (ICCD).

Nikil D. Dutt, Sudeep Pasricha, S. Pasricha, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Nikil D. Dutt, Vivek Tiwari, Lode Nachtergaele, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

N. Dutt, A. Rahmani, Bryan Donyanavard, 2021, ACM Transactions on Embedded Computing Systems.

Bryan Donyanavard, Nikil Dutt, Andreas Herkersdorf, 2020, ArXiv.

Nikil D. Dutt, Bryan Donyanavard, Andreas Herkersdorf, 2021, ACM Trans. Embed. Comput. Syst..

Nikil Dutt, Alfonso Avila-Ortega, Juan A. Gonzalez-Lugo, 2013, 2013 International Conference on Mechatronics, Electronics and Automotive Engineering.

Nikil Dutt, Amir M. Rahmani, Kasra Moazzemi, 2019, ACM Trans. Embed. Comput. Syst..

J. Krichmar, N. Dutt, M. Beyeler, 2017, 1702.06665.

Nikil D. Dutt, Tobi Delbrück, Jayram Moorkanikara Nageswaran, 2009, 2009 IEEE International Symposium on Circuits and Systems.

Nikil D. Dutt, Elaheh Bozorgzadeh, Sudarshan Banerjee, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Bryan Donyanavard, Nikil Dutt, Amir M. Rahmani, 2020, 2020 International Conference on Omni-layer Intelligent Systems (COINS).

Bernhard Rinner, Nikil D. Dutt, Xin Yao, 2020, Proc. IEEE.

Ramesh C. Jain, N. Dutt, A. Rahmani, 2021, JMIR research protocols.

Nikil D. Dutt, Nalini Venkatasubramanian, Alexandru Nicolau, 2006, MOCO.

Sandeep K. Shukla, Rajesh Gupta, Nikil D. Dutt, 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

Puneet Gupta, Nikil D. Dutt, Alexandru Nicolau, 2015, ACM Trans. Archit. Code Optim..

Yunheung Paek, Nikil D. Dutt, Sudeep Pasricha, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Aviral Shrivastava, Yunheung Paek, Nikil D. Dutt, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Nikil D. Dutt, Mehrdad Reshadi, Bita Gorjiara, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, 2005, Design, Automation and Test in Europe.

Nikil D. Dutt, Prabhat Mishra, Yaron Kashai, 2004, Fifth International Workshop on Microprocessor Test and Verification (MTV'04).

Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, 2004, CODES+ISSS '04.

Nikil D. Dutt, Prabhat Mishra, N. Dutt, 2004, Euromicro Symposium on Digital System Design, 2004. DSD 2004..

Aviral Shrivastava, Nikil Dutt, Prabhat Mishra, 2004, ACM Trans. Design Autom. Electr. Syst..

Nikil D. Dutt, Hiroyuki Tomiyama, N. Dutt, 2004, IEICE Trans. Inf. Syst..

Nikil D. Dutt, Magdy S. Abadir, Prabhat Mishra, 2004, IEEE Design & Test of Computers.

Nikil D. Dutt, Prabhat Mishra, N. Dutt, 2004, TECS.

Nikil D. Dutt, Daniel S. Hirschberg, Mahesh Mamidipaka, 2003, IEEE Trans. Very Large Scale Integr. Syst..

Nikil D. Dutt, Prabhat Mishra, Arun Kejariwal, 2003, 14th IEEE International Workshop on Rapid Systems Prototyping, 2003. Proceedings..

Nikil D. Dutt, Prabhat Mishra, N. Dutt, 2003, Proceedings. 4th International Workshop on Microprocessor Test and Verification - Common Challenges and Solutions.

Nikil D. Dutt, Alexandru Nicolau, Peter Grun, 2003, TECS.

Nikil D. Dutt, Alexandru Nicolau, Peter Grun, 2002, Springer US.

Nikil D. Dutt, Prabhat Mishra, N. Dutt, 2002, Seventh IEEE International High-Level Design Validation and Test Workshop, 2002..

Nikil D. Dutt, Hiroyuki Tomiyama, Alexandru Nicolau, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Nikil D. Dutt, Alexandru Nicolau, Peter Grun, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Nikil D. Dutt, Alexandru Nicolau, Prabhat Mishra, 2001, Sixth IEEE International High-Level Design Validation and Test Workshop.

Nikil D. Dutt, Alexandru Nicolau, Prabhat Mishra, 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

Nikil D. Dutt, Hiroyuki Tomiyama, N. Dutt, 2000, Proceedings of the Eighth International Workshop on Hardware/Software Codesign. CODES 2000 (IEEE Cat. No.00TH8518).

Nikil D. Dutt, Preeti Ranjan Panda, N. Dutt, 1995, Proceedings of the Eighth International Symposium on System Synthesis.

Aviral Shrivastava, Nikil Dutt, N. Dutt, 2006 .

Nikil D. Dutt, Magdy S. Abadir, Prabhat Mishra, 2005, Int. J. Embed. Syst..

Nikil Dutt, Amir M. Rahmani, Biswadip Maity, 2020, IEEE Embedded Systems Letters.

Nikil D. Dutt, Amir M. Rahmani, Kasra Moazzemi, 2018, Microprocess. Microsystems.

Axel Jantsch, Bryan Donyanavard, Nikil Dutt, 2018, IEEE Transactions on Multi-Scale Computing Systems.

Nikil D. Dutt, Amir M. Rahmani, Kasra Moazzemi, 2017, 2017 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC).

Nikil D. Dutt, Pradip K. Jha, N. Dutt, 1997, Proceedings European Design and Test Conference. ED & TC 97.

Nikil D. Dutt, Pradip K. Jha, N. Dutt, 1995, Proceedings the European Design and Test Conference. ED&TC 1995.

Nikil D. Dutt, Preeti Ranjan Panda, N. Dutt, 1996, Proceedings ED&TC European Design and Test Conference.

Nikil D. Dutt, Luis Angel D. Bathen, N. Dutt, 2012, 2012 IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.

Nikil D. Dutt, Bryan Donyanavard, Tiago Mück, 2021, ArXiv.

Nikil D. Dutt, Alexandru Nicolau, Preeti Ranjan Panda, 1997, TODE.

Rajesh Gupta, Nikil D. Dutt, Alexandru Nicolau, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Nikil D. Dutt, Nalini Venkatasubramanian, Carolyn L. Talcott, 2007, FMOODS.

Nikil Dutt, Sudeep Pasricha, S. Pasricha, 2008 .

Nikil Dutt, Sudeep Pasricha, S. Pasricha, 2008 .

Minh N. Do, Deming Chen, Yun Liang, 1992 .

Nikil D. Dutt, Majid Namaki-Shoushtari, Amir M. Rahmani, 2017, CASES.

Nikil Dutt, Amir M. Rahmani, Majid Shoushtari, 2017, 2017 International Conference on Compilers, Architectures and Synthesis For Embedded Systems (CASES).

Erik Brockmeyer, Nikil D. Dutt, Miguel Corbalan, 2007, TODE.

Nikil D. Dutt, Bryan Donyanavard, Hossein Tajik, 2016, ACM Trans. Embed. Comput. Syst..

N. Dutt, I. Issenin, 2008, International Journal of Parallel Programming.

Nikil D. Dutt, Alexander V. Veidenbaum, Alexandru Nicolau, 2009, International Journal of Parallel Programming.

Axel Jantsch, Pasi Liljeberg, Nikil Dutt, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Marco Levorato, Nikil Dutt, Tao-Yi Lee, 2019, 2019 IEEE Global Communications Conference (GLOBECOM).

Nikil D. Dutt, Laura Pozzi, Giovanni Ansaloni, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Hiroyuki Tomiyama, Alexandru Nicolau, Nikil Dutt, 1999, ICVC '99. 6th International Conference on VLSI and CAD (Cat. No.99EX361).

Aviral Shrivastava, Nikil D. Dutt, Nalini Venkatasubramanian, 2010, TODE.

Aviral Shrivastava, Nikil D. Dutt, Nalini Venkatasubramanian, 2008, DIPES.

Nikil D. Dutt, Paolo Ienne, Laura Pozzi, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.