W. Hwu

发表

Yale N. Patt, Wen-mei W. Hwu, Michael Shebanow, 1985, MICRO 18.

Yale N. Patt, Wen-mei W. Hwu, Y. Patt, 1987, IEEE Transactions on Computers.

Jinjun Xiong, Hubertus Franke, Wen-Mei Hwu, 2019, MICRO.

Wen-mei W. Hwu, Daniel A. Connors, Matthew C. Merten, 1999, IEEE Trans. Computers.

Wen-mei W. Hwu, Sam S. Stone, John A. Stratton, 2008, LCPC.

Wen-mei W. Hwu, John A. Stratton, Christopher I. Rodrigues, 2012 .

Scott A. Mahlke, B. Ramakrishna Rau, Wen-mei W. Hwu, 1992, ASPLOS V.

John E. Stone, Volodymyr V. Kindratenko, Wen-mei W. Hwu, 2009, 2009 IEEE International Conference on Cluster Computing and Workshops.

Quang Nguyen, T. Huang, S.J. Patel, 2009, IEEE Signal Processing Magazine.

Sanjay J. Patel, W. Hwu, W. Sanders, 1995, Gastrointestinal Endoscopy.

Scott A. Mahlke, Krishna V. Palem, John C. Gyllenhaal, 2004, LCPC.

Scott A. Mahlke, David I. August, Richard E. Hank, 1995, Proceedings 22nd Annual International Symposium on Computer Architecture.

Izzat El Hajj, D. Milojicic, W. Hwu, 2017 .

Scott A. Mahlke, Richard E. Hank, Roger A. Bringmann, 1993, MICRO.

Ian T. Foster, Rajkumar Kettimuthu, Wen-mei W. Hwu, 2019, SC.

Dinesh Manocha, Naga K. Govindaraju, Manuel M. T. Chakravarty, 2009 .

Wen-mei W. Hwu, Mohamed El-Hadedy, Benjamin Kueffler, 2020 .

Yale N. Patt, Wen-mei W. Hwu, Michael C. Shebanow, 1986 .

Yale N. Patt, Wen-mei W. Hwu, Y. Patt, 1987 .

Yale N. Patt, Wen-mei W. Hwu, Robert G. Sheldon, 1984 .

Yale N. Patt, Wen-mei W. Hwu, Y. Patt, 1998, 25 Years ISCA: Retrospectives and Reprints.

Yale N. Patt, Wen-mei W. Hwu, Stephen W. Melvin, 1986, COMPCON.

Yale N. Patt, Stephen W. Melvin, Wen-mei W. Hwu, 2016 .

Jian Ma, Deming Chen, Wen-mei W. Hwu, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jinjun Xiong, Wen-mei W. Hwu, Mohamed El-Hadedy, 2020 .

W. Hwu, W. Fuchs, Shyh-Kwei Chen, 1994, 1994 International Conference on Parallel Processing Vol. 1.

Karsten Schwan, Paolo Faraboschi, Timothy Roscoe, 2016, ASPLOS.

Josep Torrellas, Klara Nahrstedt, Thomas S. Huang, 2013 .

Timothy Roscoe, K. Schwan, W. Hwu, 2016, Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems.

David B. Kirk, Wen-mei W. Hwu, W. Hwu, 2013 .

B. R. Rau, W. Hwu, R. Hank, 1995, Proceedings of the 28th Annual International Symposium on Microarchitecture.

Wen-mei W. Hwu, Teresa L. Johnson, W. Hwu, 1997, ISCA.

Wen-mei W. Hwu, Jinjun Xiong, W. Hwu, 2022, ACL.

Wen-mei W. Hwu, W. Hwu, Mohamed El-Hadedy, 2022, 2022 IEEE 35th International System-on-Chip Conference (SOCC).

Roger A. Bringmann, Wen-mei W. Hwu, B. R. Rau, 1992, TOCS.

Yale N. Patt, Chien Chen, Wen-mei W. Hwu, 1986 .

Wen-mei W. Hwu, Alok V. Kamatar, Jinjun Xiong, 2022, ArXiv.

Wen-mei W. Hwu, W.Y. Chen, W.W. Hwu, 1991, [1991] Proceedings. The 18th Annual International Symposium on Computer Architecture.

Y. Patt, W. Hwu, 1998, International Symposium on Computer Architecture.

Wen-mei W. Hwu, Jinjun Xiong, W. Hwu, 2022, EMNLP.

Izzat El Hajj, Jinjun Xiong, W. Hwu, 2022, ArXiv.

James R. Larus, Wen-mei W. Hwu, Lauren Sarno, 2007, DAC '07.

John E. Stone, Zaida Luthey-Schulten, Wen-mei W. Hwu, 2009, 2009 IEEE International Symposium on Parallel & Distributed Processing.

Wen-mei W. Hwu, W. Hwu, 2014, J. Parallel Distributed Comput..

Wen-mei W. Hwu, W. Hwu, R. Kettimuthu, 2021, IEEE Transactions on Parallel and Distributed Systems.

Dejan S. Milojicic, Kaushik Roy, Paolo Faraboschi, 2019, ASPLOS.

Ravishankar K. Iyer, Zbigniew T. Kalbarczyk, Wen-mei W. Hwu, 2016, DIDC@HPDC.

Sanjay J. Patel, Wen-mei W. Hwu, W. Hwu, 1995, Gastrointestinal endoscopy.

Simon D. Hammond, Onur Mutlu, Juan Gómez-Luna, 2019, 2019 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Jinjun Xiong, Cheng Li, Wen-mei W. Hwu, 2018, ICS.

Juan Gómez-Luna, Wen-mei W. Hwu, Izzat El Hajj, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Dejan S. Milojicic, Juan Gómez-Luna, Wen-mei W. Hwu, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Juan Gómez-Luna, Nicolás Guil Mata, Wen-mei W. Hwu, 2015, 2015 44th International Conference on Parallel Processing.

Jian Ma, Xiaolong Wu, Deming Chen, 2012, BMC Bioinformatics.

Dejan S. Milojicic, Karsten Schwan, Paolo Faraboschi, 2016, ASPLOS.

Xiaolong Wu, Deming Chen, Wen-mei W. Hwu, 2014, Bioinform..

Justin P. Haldar, Xiaolong Wu, Zhi-Pei Liang, 2013, J. Parallel Distributed Comput..

Jason Cong, Deming Chen, Wen-mei W. Hwu, 2009, ICS.

Wen-mei W. Hwu, W. Hwu, 2011 .

Wen-mei W. Hwu, Wen-Mei Hwu, Bowen Cheng, 2021, 2021 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).

Wen-mei W. Hwu, Deming Chen, W. Hwu, 2020, ACM Great Lakes Symposium on VLSI.

Wen-mei W. Hwu, Deming Chen, W. Hwu, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Jinjun Xiong, Yuhong Li, Deming Chen, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Jinjun Xiong, Deming Chen, Wen-mei W. Hwu, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Jinjun Xiong, Nam Sung Kim, Deming Chen, 2019, 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Richard W. Vuduc, Wen-mei W. Hwu, Hyesoon Kim, 2012, Synthesis Lectures on Computer Architecture.

Hee-Seok Kim, Wen-mei W. Hwu, John A. Stratton, 2012, 2012 International Conference for High Performance Computing, Networking, Storage and Analysis.

Hee-Seok Kim, Wen-mei W. Hwu, Shengzhao Wu, 2011, 2011 International Conference on Parallel Processing.

Thomas M. Conte, Wen-mei W. Hwu, Mark Smotherman, 2004, International Journal of Parallel Programming.

Adam Wolisz, Wen-mei W. Hwu, Jean-Pierre Ebert, 2003, Comput. Networks.

Jinjun Xiong, Yunchao Wei, Thomas S. Huang, 2020, 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).

Chien-Wei Li, Wen-mei W. Hwu, W. Hwu, 2006 .

Jinjun Xiong, Yunchao Wei, Yukun Zhu, 2019, 2019 IEEE/CVF International Conference on Computer Vision (ICCV).

Martin D. F. Wong, Wen-mei W. Hwu, Lijuan Luo, 2010, Design Automation Conference.

W. Kent Fuchs, Chung-Chi Jim Li, Wen-mei W. Hwu, 1991 .

Juan Gómez-Luna, José María González-Linares, Nicolás Guil Mata, 2016, IEEE Transactions on Parallel and Distributed Systems.

Juan Gómez-Luna, José María González-Linares, Nicolás Guil Mata, 2014, PPoPP '14.

Wen-mei W. Hwu, Omer Anjum, Suma Bhat, 2019, EMNLP.

Jinjun Xiong, Deming Chen, Wen-mei W. Hwu, 2021, IEEE Transactions on Parallel and Distributed Systems.

Klaus Schulten, John E. Stone, Wen-mei W. Hwu, 2008, CF '08.

Scott A. Mahlke, Richard E. Hank, Roger A. Bringmann, 1993, Proceedings of the 26th Annual International Symposium on Microarchitecture.

Scott A. Mahlke, Wen-mei W. Hwu, Pohua P. Chang, 1991, Softw. Pract. Exp..

Wen-mei W. Hwu, W. Hwu, D. Kirk, 2013 .

Wen-Mei Hwu, Andrew F. Glew, Andy Glew, 1990, CARN.

Wen-mei W. Hwu, Sam S. Stone, Shane Ryoo, 2008, J. Parallel Distributed Comput..

Justin P. Haldar, Zhi-Pei Liang, Wen-mei W. Hwu, 2008, CF '08.

W. Hwu, W. Hwu, D. I. August, 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.

Vipin Kumar, David A. Padua, Per Stenström, 2011, IPDPS.

David B. Kirk, Wen-mei W. Hwu, W. Hwu, 2013 .

Wen-mei W. Hwu, Jinjun Xiong, W. Hwu, 2022, KDD.

Wen-mei W. Hwu, Jinjun Xiong, Mert Hidayetoglu, 2021, ArXiv.

Wen-mei W. Hwu, Eiman Ebrahimi, Deming Chen, 2021, Proc. VLDB Endow..

Jinjun Xiong, Zehra Sura, I-Hsin Chung, 2018, ISC Workshops.

Wen-mei W. Hwu, Thomas S. Huang, R. Feris, 2020, 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Jinjun Xiong, Minh N. Do, Alexander G. Schwing, 2018, NIPS.

Wen-mei W. Hwu, Vikram Sharma Mailthody, Eiman Ebrahimi, 2020, Proc. VLDB Endow..

Jinjun Xiong, W. Hwu, K. Chang, 2021, FINDINGS.

Jinjun Xiong, Cheng Li, Wen-Mei W. Hwu, 2018, 2019 IEEE 12th International Conference on Cloud Computing (CLOUD).

Wen-mei W. Hwu, Shane Ryoo, John A. Stratton, 2009, Computing in Science & Engineering.

Weng Cho Chew, Wen-mei W. Hwu, Izzat El Hajj, 2018, 2018 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Wen-mei W. Hwu, John A. Stratton, Hee-Seok Kim, 2013 .

Mike Murphy, Jaydeep Marathe, Wen-mei W. Hwu, 2010, CGO '10.

Scott A. Mahlke, Wen-mei W. Hwu, Daniel M. Lavery, 1995, IEEE Trans. Computers.

Justin P. Haldar, Xiaolong Wu, Zhi-Pei Liang, 2010, 2010 IEEE International Symposium on Biomedical Imaging: From Nano to Macro.

Dejan S. Milojicic, Thomas B. Jablin, Izzat El Hajj, 2017, Proc. ACM Program. Lang..

Wen-mei W. Hwu, Vikram Sharma Mailthody, W. Dally, 2022, ASPLOS.

Vikram Sharma Mailthody, W. Dally, M. Garland, 2022, ArXiv.

Xuhao Chen, Zhiying Wang, Wen-mei W. Hwu, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Jinjun Xiong, Nam Sung Kim, Deming Chen, 2017, 2017 IEEE International Conference on Rebooting Computing (ICRC).

Kaushik Roy, John Paul Strachan, Matthew Marinella, 2019, IEEE Transactions on Computers.

Antonio J. Peña, Thomas B. Jablin, Juan Gómez-Luna, 2017, 2017 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Hee-Seok Kim, Wen-mei W. Hwu, Li-Wen Chang, 2016, ASPLOS.

Wen-mei W. Hwu, Wen-Mei Hwu, Omer Anjum, 2019, 2019 IEEE 21st International Conference on High Performance Computing and Communications; IEEE 17th International Conference on Smart City; IEEE 5th International Conference on Data Science and Systems (HPCC/SmartCity/DSS).

Dejan S. Milojicic, Kaushik Roy, Paolo Faraboschi, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Dejan S. Milojicic, Kaushik Roy, Paolo Faraboschi, 2018, 2018 IEEE International Conference on Rebooting Computing (ICRC).

Dejan S. Milojicic, Chris I. Dalton, Catherine Graves, 2017, 2017 IEEE International Conference on Rebooting Computing (ICRC).

Deming Chen, Juan Gómez-Luna, Wen-mei W. Hwu, 2017, ICPE.

Jinjun Xiong, Nam Sung Kim, Deming Chen, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Jason Cong, Yun Liang, Deming Chen, 2011, 2011 IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines.

Jason Cong, Deming Chen, Alexandros Papakonstantinou, 2009, 2009 IEEE 7th Symposium on Application Specific Processors.

Rosa M. Badia, Francesc Lordan, Wen-Mei Hwu, 2017, WACCPD@SC.

Sanjay J. Patel, Steven S. Lumetta, Nacho Navarro, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Jinjun Xiong, Rakesh Nagi, Vikram S. Mailthody, 2019, 2019 IEEE High Performance Extreme Computing Conference (HPEC).

Jinjun Xiong, Rakesh Nagi, Vikram S. Mailthody, 2018, 2018 IEEE High Performance extreme Computing Conference (HPEC).

Jinjun Xiong, Nam Sung Kim, Rakesh Nagi, 2017, 2017 IEEE High Performance Extreme Computing Conference (HPEC).

Scott A. Mahlke, Roger A. Bringmann, Wen-mei W. Hwu, 1995, Proceedings of the Twenty-Eighth Annual Hawaii International Conference on System Sciences.

Jinjun Xiong, Deming Chen, Yao Chen, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Wen-mei W. Hwu, Nancy J. Warter, W. Hwu, 1990, [1990] Digest of Papers. Fault-Tolerant Computing: 20th International Symposium.

Scott A. Mahlke, Wen-mei W. Hwu, William Y. Chen, 1992, ICPP.

Geng Liu, Wen-mei W. Hwu, Ian C. Atkinson, 2013, Int. J. Imaging Syst. Technol..

Ahmed Louri, Derek Chiou, Hyesoon Kim, 2018, 2010 International Conference on e-Business (ICE-B).

Wen-mei W. Hwu, David B. Kirk, W. Hwu, 2017 .

Stephen Jones, Wen-mei W. Hwu, Ian Buck, 2013, The Journal of Supercomputing.

Thomas M. Conte, Wen-mei W. Hwu, Pohua P. Chang, 1993, IEEE Trans. Computers.

Juan Gómez-Luna, Wen-mei W. Hwu, Izzat El Hajj, 2016, PPoPP.

Sandra Gesing, Ian J. Taylor, Wen-mei W. Hwu, 2015, 2015 IEEE/ACM 8th International Conference on Utility and Cloud Computing (UCC).

Stephen A. Boppart, Nathan D. Shemonski, Adeel Ahmad, 2013, Nature Photonics.

Stephen A. Boppart, Wen-mei W. Hwu, Nathan D. Shemonski, 2012 .

Deming Chen, Wen-mei W. Hwu, Alexandros Papakonstantinou, 2008, 2008 Symposium on Application Specific Processors.

Scott A. Mahlke, Richard E. Hank, Roger A. Bringmann, 1993, The Journal of Supercomputing.

Jinjun Xiong, Deming Chen, Kyle Rupnow, 2019, ArXiv.

William Gropp, Sanjay J. Patel, Wen-mei W. Hwu, 2010, PPoPP '10.

Wen-mei W. Hwu, Sara S. Baghsorkhi, Matthieu Delahaye, 2009 .

Thomas M. Conte, Wen-mei W. Hwu, Mary Ann Hirsch, 1998, IEEE Trans. Computers.

Yale N. Patt, Wen-mei W. Hwu, Y. Patt, 1987, ISCA '87.

M. Hasegawa-Johnson, Mo Yu, Humphrey Shi, 2020, 2021 IEEE/CVF International Conference on Computer Vision (ICCV).

Nacho Navarro, Wen-mei W. Hwu, Isaac Gelado, 2015, GPGPU@PPoPP.

José L. Abellán, Juan Gómez-Luna, Wen-mei W. Hwu, 2018, J. Parallel Distributed Comput..

Jinjun Xiong, Rakesh Nagi, Deming Chen, 2019, 2019 IEEE High Performance Extreme Computing Conference (HPEC).

Jian Ma, Deming Chen, Wen-mei W. Hwu, 2016, Bioinform..

Wen-mei W. Hwu, W. Hwu, 2011 .

Onur Mutlu, Izzat El Hajj, Wen-mei Hwu, 2022, 2022 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Hee-Seok Kim, Steven S. Lumetta, Wen-mei W. Hwu, 2015, 2015 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Scott A. Mahlke, Wen-mei W. Hwu, Nancy J. Warter, 1995, IEEE Trans. Computers.

Yale N. Patt, Wen-mei W. Hwu, Y. Patt, 1987, MICRO 20.

Yale N. Patt, Wen-mei W. Hwu, Stephen W. Melvin, 1985, MICRO 18.

Wen-mei W. Hwu, Sara S. Baghsorkhi, Sain-Zee Ueng, 2008, LCPC.

Wen-mei W. Hwu, Sam S. Stone, Shane Ryoo, 2008, CGO '08.

Wen-mei W. Hwu, W. Hwu, 2011 .

Scott A. Mahlke, John C. Gyllenhaal, Wen-mei W. Hwu, 1994, ASPLOS VI.

Jinjun Xiong, W. Hwu, R. Nagi, 2021, ICS.

Rakesh Nagi, Izzat El Hajj, Wen-mei Hwu, 2021, ArXiv.

Vaduvur Bharghavan, Wen-mei W. Hwu, Jeffrey P. Monks, 2001, Proceedings IEEE INFOCOM 2001. Conference on Computer Communications. Twentieth Annual Joint Conference of the IEEE Computer and Communications Society (Cat. No.01CH37213).

Dan Roth, Kai-Wei Chang, Wen-mei W. Hwu, 2012, 2012 IEEE 12th International Conference on Data Mining.

Jinjun Xiong, W. Hwu, K. Chang, 2021, Conference on Empirical Methods in Natural Language Processing.

Onur Mutlu, Norman P. Jouppi, Thomas R. Gross, 2016, IEEE Micro.

Scott A. Mahlke, Richard E. Hank, Roger A. Bringmann, 1994, Proceedings of MICRO-27. The 27th Annual IEEE/ACM International Symposium on Microarchitecture.

Thomas M Conte, Wen-Mei W Hwu, W. Hwu, 1992, [1992 Proceedings] The Third International Workshop on Rapid System Prototyping.

Pao-Ann Hsiung, Sao-Jie Chen, Chih-Sheng Lin, 2011, 2011 7th International Conference on Wireless Communications, Networking and Mobile Computing.

Kevin Chen-Chuan Chang, Wen-mei W. Hwu, Wen-mei Hwu, 2021, ACL.