A. Raghunathan

发表

Niraj K. Jha, Ganesh Lakshminarayana, Tat Kee Tan, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Niraj K. Jha, Anand Raghunathan, Tat Kee Tan, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

N. Jha, A. Raghunathan, Chunxiao Li, 2014 .

Michael S. Hsiao, Ganesh Lakshminarayana, Anand Raghunathan, 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.

Kaushik Roy, Anand Raghunathan, Sumeet Kumar Gupta, 2012, DAC Design Automation Conference 2012.

Anand Raghunathan, Roy H. Campbell, Srimat T. Chakradhar, 2012, 2012 IEEE International Conference on Cluster Computing.

Srivaths Ravi, Anand Raghunathan, Saurabh Ganeriwal, 2006 .

N. R. Potlapally, N. Jha, A. Raghunathan, 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..

Anand Raghunathan, Srimat T. Chakradhar, Jacques A. Pienaar, 2012, 2012 International Conference for High Performance Computing, Networking, Storage and Analysis.

K. Roy, A. Raghunathan, Swagath Venkataramani, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Kaushik Roy, Anand Raghunathan, Mrigank Sharad, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Paulo Tabuada, Sandeep K. Shukla, Rajesh Gupta, 2010 .

Niraj K. Jha, Anand Raghunathan, N. Jha, 1995, ICCAD.

S. Dey, A. Raghunathan, S. Dey, 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

Luciano Lavagno, Alberto L. Sangiovanni-Vincentelli, Sujit Dey, 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).

N. Jha, A. Raghunathan, S. Dey, 1996, 33rd Design Automation Conference Proceedings, 1996.

A. Raghunathan, K. Lahiri, 2004, International Conference on Hardware/Software Codesign and System Synthesis.

Niraj K. Jha, Anand Raghunathan, Srivaths Ravi, 2007 .

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

N. Jha, A. Raghunathan, Chao-Da Huang, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

N. R. Potlapally, M. Sankaradass, A. Raghunathan, 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

Kaushik Roy, Anand Raghunathan, Xuanyao Fong, 2016, Proceedings of the IEEE.

Kaushik Roy, Anand Raghunathan, Xuanyao Fong, 2014, IEEE Transactions on Magnetics.

N. Jha, A. Raghunathan, G. Lakshminarayana, 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

A. Raghunathan, S. Dey, S. Ravi, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

A. Raghunathan, S. Gupta, Niharika Thakuria, 2022, International Symposium on Low Power Electronics and Design.

A. Raghunathan, N. Chandrachoodan, Abinand Nallathambi, 2022, ISLPED.

Jacob R. Stevens, A. Raghunathan, Amrit Nagarajan, 2022, DAC.

N. Jha, A. Raghunathan, S. Ravi, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Jacob R. Stevens, A. Raghunathan, Sanchari Sen, 2020, IEEE International Joint Conference on Neural Network.

P. Dubey, A. Raghunathan, Swagath Venkataramani, 2017 .

K. Roy, A. Raghunathan, Swagath Venkataramani, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Peter Marwedel, Anand Raghunathan, Adam Donlin, 2005, Design Automation Conference.

Anand Raghunathan, Nikhil Bansal, Srimat T. Chakradhar, 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

Niraj K. Jha, Anand Raghunathan, Najwa Aaraj, 2008, DIMVA.

T. N. Vijaykumar, Anand Raghunathan, Faraz Ahmad, 2012, ASPLOS XVII.

Jie Liu, Anand Raghunathan, Swagath Venkataramani, 2015, DAC.

Anand Raghunathan, Srimat T. Chakradhar, S. Chakradhar, 2010, Design Automation Conference.

Anand Raghunathan, Srimat T. Chakradhar, Jiayuan Meng, 2009, 2009 IEEE International Symposium on Parallel & Distributed Processing.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Srivaths Ravi, Anand Raghunathan, Srimat T. Chakradhar, 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

Ganesh Lakshminarayana, Anand Raghunathan, Srivaths Ravi, 2002 .

Susmita Sur-Kolay, Niraj K. Jha, Arsalan Mohsen Nia, 2016, IEEE Transactions on Emerging Topics in Computing.

Meng Zhang, Niraj K. Jha, Anand Raghunathan, 2014, Proceedings of the IEEE.

Niraj K. Jha, Anand Raghunathan, Chunxiao Li, 2011, 2011 IEEE 13th International Conference on e-Health Networking, Applications and Services.

Susmita Sur-Kolay, Niraj K. Jha, Anand Raghunathan, 2017, IEEE Transactions on Computers.

Kaushik Roy, Anand Raghunathan, Vaibhav Gupta, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

A. Raghunathan, K. Lahiri, S. Dey, 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

Sujit Dey, Niraj K. Jha, Ganesh Lakshminarayana, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sujit Dey, Niraj K. Jha, Anand Raghunathan, 2003, IEEE Trans. Very Large Scale Integr. Syst..

Sujit Dey, Niraj K. Jha, Anand Raghunathan, 1996, Proceedings of International Conference on Computer Aided Design.

Meng Zhang, Niraj K. Jha, Anand Raghunathan, 2013, 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems.

Sujit Dey, Anand Raghunathan, Krishna Sekar, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Sujit Dey, Anand Raghunathan, Kanishka Lahiri, 2002, IEEE Des. Test Comput..

Sujit Dey, Anand Raghunathan, Kanishka Lahiri, 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).

Kaushik Roy, Anand Raghunathan, Rangharajan Venkatesan, 2016, ACM J. Emerg. Technol. Comput. Syst..

Kaushik Roy, Anand Raghunathan, Rangharajan Venkatesan, 2016, IEEE Transactions on Electron Devices.

Kaushik Roy, Anand Raghunathan, Srimat T. Chakradhar, 2010, Design Automation Conference.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Indranil Chakraborty, Anand Raghunathan, 2020, Proceedings of the IEEE.

Dipankar Das, Bharat Kaul, Jacob R. Stevens, 2019, MICRO.

Anand Raghunathan, Swagath Venkataramani, Sanchari Sen, 2017, IEEE Transactions on Computers.

Shubham Jain, A. Raghunathan, S. Gupta, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Pradeep Dubey, Anand Raghunathan, Swagath Venkataramani, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Kaushik Roy, Anand Raghunathan, Srimat T. Chakradhar, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Anand Raghunathan, Sanchari Sen, A. Raghunathan, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Anand Raghunathan, Swagath Venkataramani, Sanchari Sen, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Kaushik Roy, Karthik Yogendra, Anand Raghunathan, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Carole-Jean Wu, Michael Niemier, Tayfun Gokmen, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, IEEE Transactions on Mobile Computing.

Niraj K. Jha, Anand Raghunathan, N. Jha, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Niraj K. Jha, Anand Raghunathan, N. Jha, 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Anand Raghunathan, Srivaths Ravi, Nachiketh Potlapally, 2002, 15th International Symposium on System Synthesis, 2002..

Kaushik Roy, Anand Raghunathan, Charles Augustine, 2012, ISLPED '12.

Luca Benini, Srivaths Ravi, Anand Raghunathan, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Vijay Raghunathan, Anand Raghunathan, Manik Singhal, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Meng Zhang, Niraj K. Jha, Anand Raghunathan, 2013, IEEE Transactions on Biomedical Circuits and Systems.

Sujit Dey, Anand Raghunathan, Rangharajan Venkatesan, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2004, 17th International Conference on VLSI Design. Proceedings..

Niraj K. Jha, Anand Raghunathan, Tat Kee Tan, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Anand Raghunathan, Brucek Khailany, Jacob R. Stevens, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Anand Raghunathan, Kaushik Roy, Ashish Ranjan, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

A. Raghunathan, Sarada Krithivasan, Sanchari Sen, 2020, ArXiv.

Susmita Sur-Kolay, Niraj K. Jha, Mehran Mozaffari Kermani, 2015, IEEE Journal of Biomedical and Health Informatics.

Vijay Raghunathan, Anand Raghunathan, Arnab Raha, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2012, DAC Design Automation Conference 2012.

Niraj K. Jha, Anand Raghunathan, Chunxiao Li, 2010, 2010 IEEE 3rd International Conference on Cloud Computing.

Srivaths Ravi, Anand Raghunathan, Paul C. Kocher, 2004, TECS.

Susmita Sur-Kolay, Niraj K. Jha, Anand Raghunathan, 2017, IEEE Transactions on Multi-Scale Computing Systems.

Susmita Sur-Kolay, Niraj K. Jha, Anand Raghunathan, 2015, IEEE Transactions on Multi-Scale Computing Systems.

Sujit Dey, Niraj K. Jha, Anand Raghunathan, 1997 .

Kaushik Roy, Anand Raghunathan, Sachin S. Sapatnekar, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Kaushik Roy, Anand Raghunathan, Mrigank Sharad, 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Anand Raghunathan, Mrigank Sharad, Xuanyao Fong, 2013, 2013 IEEE SENSORS.

Anand Raghunathan, Vijay Raghunathan, Younghyun Kim, 2017, IEEE Transactions on Multi-Scale Computing Systems.

Kaushik Roy, Amit Agarwal, Anand Raghunathan, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Niraj K. Jha, Anand Raghunathan, N. Jha, 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Kaushik Roy, Anand Raghunathan, Xuanyao Fong, 2015, ACM J. Emerg. Technol. Comput. Syst..

Charles A. Bouman, Anand Raghunathan, Samuel P. Midkiff, 2016, PPoPP.

A. Raghunathan, M. Ali, Sourjya Roy, 2022, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Sujit Dey, Ganesh Lakshminarayana, Anand Raghunathan, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sujit Dey, Ganesh Lakshminarayana, Anand Raghunathan, 2000, Proceedings 37th Design Automation Conference.

Sujit Dey, Niraj K. Jha, Anand Raghunathan, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Sharad Malik, Anand Raghunathan, Pranav Ashar, 1995, Proceedings of the 8th International Conference on VLSI Design.

Sujit Dey, Anand Raghunathan, Rangharajan Venkatesan, 2011, 2011 Design, Automation & Test in Europe.

Andrew W. Appel, Anand Raghunathan, Gang Tan, 2006 .

Kaushik Roy, Anand Raghunathan, Priyadarshini Panda, 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).

Anand Raghunathan, Srimat T. Chakradhar, T. N. Vijaykumar, 2014, USENIX Annual Technical Conference.

Anand Raghunathan, Kanishka Lahiri, Chulsung Park, 2005, 2005 Second Annual IEEE Communications Society Conference on Sensor and Ad Hoc Communications and Networks, 2005. IEEE SECON 2005..

Srivaths Ravi, Anand Raghunathan, Srimat T. Chakradhar, 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

Srivaths Ravi, Ganesh Lakshminarayana, Anand Raghunathan, 2002, 2002 IEEE International Conference on Communications. Conference Proceedings. ICC 2002 (Cat. No.02CH37333).

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sujit Dey, Niraj K. Jha, Anand Raghunathan, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Anand Raghunathan, Subhrajit Bhattacharya, Aarti Gupta, 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).

Sujit Dey, Anand Raghunathan, Rabindra K. Roy, 1998, Proceedings of 1998 Asia and South Pacific Design Automation Conference.

Sujit Dey, Anand Raghunathan, Kanishka Lahiri, 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Anand Raghunathan, Srimat T. Chakradhar, S. Chakradhar, 2000, Proceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Kaushik Roy, Anand Raghunathan, Deliang Fan, 2014, IEEE Transactions on Nanotechnology.

Priyadarshini Panda, Gopalakrishnan Srinivasan, Anand Raghunathan, 2020, 2020 International Joint Conference on Neural Networks (IJCNN).

Sujit Dey, Anand Raghunathan, Sabur Baidya, 2021, International Conference on Artificial Intelligence Circuits and Systems.

A. Raghunathan, S. Dey, S. Baidya, 2022, ACM Trans. Embed. Comput. Syst..

Niraj K. Jha, Anand Raghunathan, Tat Kee Tan, 2005, TECS.

Anand Raghunathan, Srimat T. Chakradhar, S. Chakradhar, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Anand Raghunathan, Srimat T. Chakradhar, S. Chakradhar, 1996, Proceedings of 9th International Conference on VLSI Design.

Kaushik Roy, Anand Raghunathan, Arnab Raha, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kaushik Roy, Anand Raghunathan, Vaibhav Gupta, 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

Niraj K. Jha, Anand Raghunathan, Indradeep Ghosh, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Niraj K. Jha, Anand Raghunathan, Indradeep Ghosh, 1996, Proceedings of International Conference on Computer Aided Design.

Niraj K. Jha, Anand Raghunathan, Indradeep Ghosh, 1995, Proceedings of ICCD '95 International Conference on Computer Design. VLSI in Computers and Processors.

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2000, Proceedings 37th Design Automation Conference.

K. Roy, A. Raghunathan, Gobinda Saha, 2022, DAC.

Kazutoshi Wakabayashi, Aarti Gupta, Anand Raghunathan, 2003 .

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srivaths Ravi, Anand Raghunathan, Srimat T. Chakradhar, 2004, 17th International Conference on VLSI Design. Proceedings..

Srivaths Ravi, Anand Raghunathan, Jean-Jacques Quisquater, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Sujit Dey, Anand Raghunathan, Ali Mirtar, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Niraj K. Jha, Anand Raghunathan, Najwa Aaraj, 2012, TECS.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).

Shubham Jain, A. Raghunathan, S. Sridharan, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Shubham Jain, A. Raghunathan, 2020, ACM Trans. Embed. Comput. Syst..

Kaushik Roy, Anand Raghunathan, Shubham Jain, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Anand Raghunathan, Debabrata Mohapatra, 2011, 2011 Design, Automation & Test in Europe.

Niraj K. Jha, Anand Raghunathan, Chunxiao Li, 2013, IEEE Embedded Systems Letters.

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Anand Raghunathan, Shubham Jain, Sumeet Kumar Gupta, 2019, 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Sujit Dey, Niraj K. Jha, Anand Raghunathan, 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

Anand Raghunathan, Swagath Venkataramani, Balaraman Ravindran, 2017, ArXiv.

Vijay Raghunathan, Anand Raghunathan, Sumeet Gupta, 2019, IEEE Transactions on Nanotechnology.

A. Raghunathan, S. Gupta, Niharika Thakuria, 2022, IEEE Transactions on Electron Devices.

Ganesh Lakshminarayana, Anand Raghunathan, Kanishka Lahiri, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

A. Raghunathan, K. Lahiri, G. Lakshminarayana, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Anand Raghunathan, Srimat T. Chakradhar, Jacques A. Pienaar, 2011, ICS '11.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2018, ACM J. Emerg. Technol. Comput. Syst..

Anand Raghunathan, Swagath Venkataramani, Shubham Jain, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Anand Raghunathan, Swagath Venkataramani, Shankar Ganesh Ramasubramanian, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Hai Helen Li, J. Henkel, M. Tahoori, 2022, 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Kaushik Roy, Anand Raghunathan, W. Paul Griffin, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Shubham Jain, Sumeet Kumar Gupta, Anand Raghunathan, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Anand Raghunathan, Ashish Ranjan, Shubham Jain, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Anand Raghunathan, Swagath Venkataramani, Sarada Krithivasan, 2019, 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Niraj K. Jha, Anand Raghunathan, Weidong Wang, 2004, 17th International Conference on VLSI Design. Proceedings..

Anand Raghunathan, Srimat T. Chakradhar, Janar Thoguluva, 2008, 2008 Design, Automation and Test in Europe.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

Srivaths Ravi, Anand Raghunathan, Ruby B. Lee, 2004, Proceedings. 41st Design Automation Conference, 2004..

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Kaushik Roy, Anand Raghunathan, Ashish Ranjan, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Anand Raghunathan, Vijay Raghunathan, Younghyun Kim, 2014, International Green Computing Conference.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

Sujit Dey, Anand Raghunathan, Kenneth D. Wagner, 1998, J. Electron. Test..

Anand Raghunathan, Sourjya Roy, Mustafa Ali, 2021, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Sujit Dey, Anand Raghunathan, Kanishka Lahiri, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Sujit Dey, Anand Raghunathan, Kanishka Lahiri, 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

A. Raghunathan, S. Dey, G. Lakshminarayana, 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007 .

Sujit Dey, Anand Raghunathan, Krishna Sekar, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Anish Muttreja, Srivaths Ravi, Niraj K. Jha, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2000, IEEE Trans. Computers.

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 1996, Proceedings of Annual Symposium on Fault Tolerant Computing.

A. Raghunathan, Sarada Krithivasan, Nitin Rathi, 2022, DAC.

Sujit Dey, Anand Raghunathan, Ramesh R. Rao, 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.

Younghoon Kim, Kaushik Roy, Anand Raghunathan, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Meng Zhang, Niraj K. Jha, Anand Raghunathan, 2014, International Journal of Information Security.

Niraj K. Jha, Anand Raghunathan, Vijay Raghunathan, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

A. Raghunathan, Amrit Nagarajan, 2023, Trans. Mach. Learn. Res..

Charles A. Bouman, Anand Raghunathan, Samuel P. Midkiff, 2017, PPoPP.

Kaushik Roy, Anand Raghunathan, Sachin S. Sapatnekar, 2017, DAC.

Kaushik Roy, Anand Raghunathan, A. Raghunathan, 2015, 2015 IEEE Computer Society Annual Symposium on VLSI.

Sujit Dey, Anand Raghunathan, Kanishka Lahiri, 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.

Jacob R. Stevens, A. Raghunathan, S. Sridharan, 2023, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

A. Raghunathan, N. Chandrachoodan, Abinand Nallathambi, 2021, Frontiers in Neuroscience.

Kaushik Roy, Mei-Chin Chen, Ashish Ranjan, 2019, IEEE Transactions on Magnetics.

Sujit Dey, Anand Raghunathan, Vijay S. Pai, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).