Naigang Wang

发表

Brian Kingsbury, George Saon, Swagath Venkataramani, 2021, Interspeech.

Hamza Ouarnoughi, Martin Wistuba, Kaoutar El Maghraoui, 2021, ArXiv.

Daniel Brand, Kailash Gopalakrishnan, Jungwook Choi, 2018, NeurIPS.

Joel Silberman, Swagath Venkataramani, Matthew M. Ziegler, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Swagath Venkataramani, Vijayalakshmi Srinivasan, George Gristede, 2018, IEEE Solid-State Circuits Letters.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2019, NeurIPS.

Kailash Gopalakrishnan, Jungwook Choi, Ankur Agrawal, 2019, 2019 IEEE 26th Symposium on Computer Arithmetic (ARITH).

Joel Silberman, Swagath Venkataramani, Mingu Kang, 2021, 2021 IEEE International Solid- State Circuits Conference (ISSCC).

Hamza Ouarnoughi, Martin Wistuba, Kaoutar El Maghraoui, 2021, IJCAI.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Songtao Lu, 2021, NeurIPS.

Charbel Sakr, Naresh R. Shanbhag, Ankur Agrawal, 2019, ICLR.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2020, NeurIPS.

Swagath Venkataramani, Xiao Sun, Mingu Kang, 2020, Proceedings of the IEEE.

Swagath Venkataramani, Xiao Sun, Mingu Kang, 2020, 2020 IEEE Symposium on VLSI Circuits.

Kaoutar El Maghraoui, Chia-Yu Chen, Naigang Wang, 2022, NeurIPS.

Joel Silberman, Swagath Venkataramani, Matthew M. Ziegler, 2018, 2018 IEEE Symposium on VLSI Circuits.

Joel Silberman, Swagath Venkataramani, Vijayalakshmi Srinivasan, 2022, IEEE Journal of Solid-State Circuits.

Kate Saenko, Chun-Fu Chen, Aude Oliva, 2021, 2024 IEEE/CVF Winter Conference on Applications of Computer Vision (WACV).

Bowen Pan Kailash Gopalakrishnan, A. Oliva, Kate Saenko, 2021, ArXiv.