Swagath Venkataramani

发表

Brian Kingsbury, George Saon, Swagath Venkataramani, 2021, Interspeech.

K. Roy, A. Raghunathan, Swagath Venkataramani, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

K. Gopalakrishnan, Swagath Venkataramani, V. Srinivasan, 2022 .

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2020, NeurIPS.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Songtao Lu, 2021, NeurIPS.

Mircea R. Stan, Swagath Venkataramani, Pradip Bose, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Swagath Venkataramani, Vijayalakshmi Srinivasan, Shubham Jain, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

K. Gopalakrishnan, Swagath Venkataramani, V. Srinivasan, 2022, ACM Trans. Embed. Comput. Syst..

K. Roy, A. Raghunathan, Swagath Venkataramani, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Steven K. Esser, Andrew G. Howard, Dmitry Kalenichenko, 2021 .

Jie Liu, Anand Raghunathan, Swagath Venkataramani, 2015, DAC.

Swagath Venkataramani, Swagath Venkataramani, 2016 .

Joel Silberman, Swagath Venkataramani, Matthew M. Ziegler, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2019, 2019 IEEE International Symposium on Workload Characterization (IISWC).

Hiroshi Inoue, Swagath Venkataramani, Moriyoshi Ohara, 2019, IEEE Micro.

Anand Raghunathan, Swagath Venkataramani, Sanchari Sen, 2017, IEEE Transactions on Computers.

Swagath Venkataramani, Vijayalakshmi Srinivasan, George Gristede, 2018, IEEE Solid-State Circuits Letters.

Swagath Venkataramani, Zhuo Wang, Vijayalakshmi Srinivasan, 2018, ArXiv.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2019, NeurIPS.

Pradeep Dubey, Anand Raghunathan, Swagath Venkataramani, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Swagath Venkataramani, Vijayalakshmi Srinivasan, Shubham Jain, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Joel Silberman, Swagath Venkataramani, Mingu Kang, 2021, 2021 IEEE International Solid- State Circuits Conference (ISSCC).

Anand Raghunathan, Swagath Venkataramani, Sanchari Sen, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Jie Liu, Xian-Sheng Hua, Swagath Venkataramani, 2015, Mobile Cloud Visual Media Computing.

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2012, DAC Design Automation Conference 2012.

Eric Cheng, Rajiv V. Joshi, Arun Paidimarri, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Swagath Venkataramani, Vijayalakshmi Srinivasan, Zhuo Wang, 2019, MLSys.

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Swagath Venkataramani, Vijayalakshmi Srinivasan, Shubham Jain, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Swagath Venkataramani, Xiao Sun, Mingu Kang, 2020, Proceedings of the IEEE.

Kaushik Roy, Anand Raghunathan, Arnab Raha, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Swagath Venkataramani, Xiao Sun, Mingu Kang, 2020, 2020 IEEE Symposium on VLSI Circuits.

Swagath Venkataramani, Philip Heidelberger, Vijayalakshmi Srinivasan, 2019, 2019 IEEE 26th International Conference on High Performance Computing, Data, and Analytics (HiPC).

Kaoutar El Maghraoui, Chia-Yu Chen, Naigang Wang, 2022, NeurIPS.

Swagath Venkataramani, Wonyong Sung, Sungho Shin, 2018, ICASSP 2019 - 2019 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Joel Silberman, Swagath Venkataramani, Matthew M. Ziegler, 2018, 2018 IEEE Symposium on VLSI Circuits.

Paramvir Bahl, Xian-Sheng Hua, Swagath Venkataramani, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Anand Raghunathan, Swagath Venkataramani, Balaraman Ravindran, 2017, ArXiv.

Swagath Venkataramani, Zhuo Wang, Vijayalakshmi Srinivasan, 2018, ArXiv.

Joel Silberman, Swagath Venkataramani, Vijayalakshmi Srinivasan, 2022, IEEE Journal of Solid-State Circuits.

Swagath Venkataramani, Pradip Bose, Alper Buyuktosunoglu, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2018, ACM J. Emerg. Technol. Comput. Syst..

Anand Raghunathan, Swagath Venkataramani, Shubham Jain, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Anand Raghunathan, Swagath Venkataramani, Shankar Ganesh Ramasubramanian, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Hai Helen Li, J. Henkel, M. Tahoori, 2022, 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Anand Raghunathan, Swagath Venkataramani, Sarada Krithivasan, 2019, 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Younghoon Kim, Kaushik Roy, Anand Raghunathan, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Swagath Venkataramani, Zhenyu Liu, Garrett Gagnon, 2024, arXiv.org.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2021, 2021 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2017, 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).