Dimin Niu
发表
Yuan Xie,
Dimin Niu,
Guangyu Sun,
2011,
2011 IEEE 29th International Conference on Computer Design (ICCD).
Yiran Chen,
Yuan Xie,
Dimin Niu,
2010,
HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
Zhe Zhang,
Shuangchen Li,
Hongzhong Zheng,
2022,
IEEE International Solid-State Circuits Conference.
Zhe Zhang,
Shuangchen Li,
Hongzhong Zheng,
2022,
ISCA.
Zhe Zhang,
Shuangchen Li,
Hongzhong Zheng,
2022,
ISCA.
Yiran Chen,
Yuan Xie,
Dimin Niu,
2012,
Handbook of Energy-Aware and Green Computing.
Hongzhong Zheng,
Dimin Niu,
J. Meng,
2022,
2022 IEEE 28th International Conference on Parallel and Distributed Systems (ICPADS).
Tao Zhang,
Dimin Niu,
Guangyu Sun,
2022,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yuan Xie,
Dimin Niu,
Guangyu Sun,
2011,
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
Yuan Xie,
Hongzhong Zheng,
Dimin Niu,
2017,
2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Mingyu Gao,
Hongzhong Zheng,
Dimin Niu,
2023,
ASPLOS.
Yanzhi Wang,
Dimin Niu,
Xuehai Qian,
2019,
MICRO.
Yiran Chen,
Yuan Xie,
Dimin Niu,
2010,
2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).
Lixue Xia,
Yu Cao,
Yuan Xie,
2020,
ACM Great Lakes Symposium on VLSI.
Dimin Niu,
Guoyang Chen,
Weifeng Zhang,
2020,
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).
Naehyuck Chang,
Yuan Xie,
Dimin Niu,
2010,
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
Hongzhong Zheng,
Dimin Niu,
Peng Gu,
2020,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Cong Xu,
Qiaosha Zou,
Yuan Xie,
2013,
2013 IEEE 31st International Conference on Computer Design (ICCD).
Norman P. Jouppi,
Yuan Xie,
Dimin Niu,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Zhe Zhang,
Shuangchen Li,
Hongzhong Zheng,
2022,
IEEE Access.
Tao Zhang,
Cong Xu,
Shimeng Yu,
2015,
2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).
Yuan Xie,
Hongzhong Zheng,
Dimin Niu,
2018,
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Zhao Wang,
Hongzhong Zheng,
Dimin Niu,
2020,
Conference on Advanced Computer Architecture.
Yuan Xie,
Krishnendu Chakrabarty,
Dimin Niu,
2010,
2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Christina Delimitrou,
Christoforos E. Kozyrakis,
Hongzhong Zheng,
2016,
2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).
Changyong Pan,
Zhixing Yang,
Dimin Niu,
2007,
2007 International Conference on Communications, Circuits and Systems.
Hongzhong Zheng,
Dimin Niu,
Qiaosha Zou,
2023,
IEEE Transactions on Parallel and Distributed Systems.
P. Gu,
Hongzhong Zheng,
Dimin Niu,
2023,
ACM Transactions on Architecture and Code Optimization.
Yuan Xie,
Hongzhong Zheng,
Dimin Niu,
2021,
ArXiv.
Meilin Liu,
Hongzhong Zheng,
Dimin Niu,
2022,
2022 IEEE International Solid- State Circuits Conference (ISSCC).
Hongzhong Zheng,
Dimin Niu,
Yijin Guan,
2022,
IEEE Access.
Dimin Niu,
Ruiguang Zhong,
Changzheng Wei,
2023,
2023 60th ACM/IEEE Design Automation Conference (DAC).
Yanzhi Wang,
Dimin Niu,
Youwei Zhuo,
2019,
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture.
Cong Xu,
Yuan Xie,
Norman P. Jouppi,
2013,
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yuan Xie,
Hongzhong Zheng,
Dimin Niu,
2022,
IEEE Access.
Cong Xu,
Yuan Xie,
Yang Zheng,
2015,
IEEE Transactions on Multi-Scale Computing Systems.
Yuan Xie,
Dimin Niu,
Yibo Chen,
2010,
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
Christina Delimitrou,
Christoforos E. Kozyrakis,
Hongzhong Zheng,
2017,
IEEE Micro.