Nizar Dahir

发表

Indar Sugiarto, Pedro B. Campos, Nizar Dahir, 2017, 2017 IEEE High Performance Extreme Computing Conference (HPEC).

Terrence S. T. Mak, Alexandre Yakovlev, Nizar Dahir, 2012, CODES+ISSS '12.

Terrence S. T. Mak, Alexandre Yakovlev, Nizar Dahir, 2014, 2014 22nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing.

Terrence Mak, Nizar Dahir, Petros Missailidis, 2012 .

Terrence S. T. Mak, Alexandre Yakovlev, Nizar Dahir, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Terrence S. T. Mak, Alexandre Yakovlev, Nizar Dahir, 2014, IEEE Transactions on Computers.

Terrence Mak, Nizar Dahir, Ra'ed Al-Dujaily, 2013 .

Pedro B. Campos, Nizar Dahir, Gianluca Tempesti, 2016, 2016 IEEE Symposium Series on Computational Intelligence (SSCI).

Pedro B. Campos, Nizar Dahir, Gianluca Tempesti, 2016, 2016 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS).

Pedro B. Campos, Nizar Dahir, Gianluca Tempesti, 2015, 2015 25th International Conference on Field Programmable Logic and Applications (FPL).

Indar Sugiarto, Nizar Dahir, Gianluca Tempesti, 2017 .

Terrence S. T. Mak, Alexandre Yakovlev, Nizar Dahir, 2011, 2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip.

Terrence S. T. Mak, Alexandre Yakovlev, Nizar Dahir, 2013, IET Comput. Digit. Tech..

Terrence S. T. Mak, Alexandre Yakovlev, Nizar Dahir, 2012, NoCArc '12.

Terrence Mak, Nizar Dahir, Alex Yakovlev, 2018, IEEE Transactions on Emerging Topics in Computing.

Pedro B. Campos, Nizar Dahir, Gianluca Tempesti, 2017, 2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Terrence S. T. Mak, Alexandre Yakovlev, Nizar Dahir, 2014, ACM Trans. Embed. Comput. Syst..

Terrence S. T. Mak, Alexandre Yakovlev, Nizar Dahir, 2013, ACM Trans. Design Autom. Electr. Syst..

Terrence Mak, Nizar Dahir, Ra'ed Al-Dujaily, 2017 .