R. Lee

发表

Michael J. Flynn, Ruby B. Lee, Daniel F. Zucker, 1996, Proceedings of the Third IEEE International Conference on Multimedia Computing and Systems.

Jennifer Rexford, Ruby B. Lee, Eric Keller, 2009, VISA '09.

Jeffrey S. Dwoskin, T. Lan, Jianwei Huang, 2011 .

M. Chiang, Jianwei Huang, R. Lee, 2008 .

Ruby B. Lee, David K. Karig, John Patrick Mcgregor, 2003, International Conference on Information Technology: Research and Education, 2003. Proceedings. ITRE2003..

Michael D. Smith, Ruby B. Lee, Michaela Smith, 1996, IEEE Micro.

Ruby B. Lee, David K. Karig, D. Karig, 2001 .

Ruby B. Lee, R. Lee, 2013, Security Basics for Computer Architects.

R. Lee, 2013, Security Basics for Computer Architects.

Zhijie Jerry Shi, Yiqun Lisa Yin, Ronald L. Rivest, 2005 .

Xiaojun Lin, M. Chiang, T. Lan, 2011 .

Ruby B. Lee, R. Lee, Forrest G. Hamrick, 2009 .

Dirk Timmermann, Bernd Becker, Yiannos Manoli, 2001 .

Ruby B. Lee, Mahadevan Gomathisankaran, R. Lee, 2009, Security and Management.

Ruby B. Lee, Pramod A. Jamkhedkar, Yu-Yuan Chen, 2012, CCS.

Jennifer Rexford, Ruby B. Lee, Jakub Szefer, 2011, CCS '11.

Ruby B. Lee, Tianwei Zhang, Zecheng He, 2021, IEEE Internet of Things Journal.

Ruby B. Lee, Tianwei Zhang, Zecheng He, 2019, ACSAC.

Ruby B. Lee, Jeffrey S. Dwoskin, Mahadevan Gomathisankaran, 2008 .

Ruby B. Lee, David Champagne, R. Lee, 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

Ruby B. Lee, Jakub Szefer, Yu-Yuan Chen, 2010, ASAP 2010 - 21st IEEE International Conference on Application-specific Systems, Architectures and Processors.

Ruby B. Lee, M. J. Flynn, D. F. Zucker, 1995 .

Ruby B. Lee, Zhenghong Wang, John Patrick McGregor, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

Ruby B. Lee, Tianwei Zhang, Zecheng He, 2018, ArXiv.

Ruby B. Lee, Jakub Szefer, Jakub Szefer, 2012, ASPLOS XVII.

Ruby B. Lee, Wei-Han Lee, R. Lee, 2015, 2015 International Conference on Information Systems Security and Privacy (ICISSP).

Ruby B. Lee, Yuan Xu, Tianwei Zhang, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Ruby B. Lee, Tianwei Zhang, Yinqian Zhang, 2016, RAID.

Gernot Heiser, Ruby B. Lee, Yuval Yarom, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Gernot Heiser, Ruby B. Lee, Yuval Yarom, 2015, 2015 IEEE Symposium on Security and Privacy.

Gernot Heiser, Ruby B. Lee, Yuval Yarom, 2015, IACR Cryptol. ePrint Arch..

Ruby B. Lee, Zhenghong Wang, R. Lee, 2006, 2006 22nd Annual Computer Security Applications Conference (ACSAC'06).

Ruby B. Lee, Zhenghong Wang, R. Lee, 2007, ISCA '07.

Ruby B. Lee, Fangfei Liu, R. Lee, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Ruby B. Lee, Zhenghong Wang, R. Lee, 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

Josep Torrellas, Ruby B. Lee, Mengjia Yan, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Ruby B. Lee, Tianwei Zhang, Yinqian Zhang, 2016, ArXiv.

Ruby B. Lee, Tianwei Zhang, Zecheng He, 2017, 2017 IEEE 4th International Conference on Cyber Security and Cloud Computing (CSCloud).

Ruby B. Lee, David Champagne, R. Lee, 2010 .

Ruby B. Lee, John Patrick Mcgregor, R. Lee, 2005 .

Jennifer Rexford, Ruby B. Lee, Jakub Szefer, 2010, ISCA.

Prateek Mittal, Shouling Ji, Ruby B. Lee, 2017, ICISSP.

Prateek Mittal, Shouling Ji, Ruby B. Lee, 2017, WPES@CCS.

Ruby B. Lee, Tianwei Zhang, Zecheng He, 2019, 2019 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Ruby B. Lee, Tianwei Zhang, Tianwei Zhang, 2017, HASP@ISCA.

Ruby B. Lee, Tianwei Zhang, Yinqian Zhang, 2017, AsiaCCS.

A. Murat Fiskiran, R. B. Lee, R. Lee, 2002, 2002 IEEE International Workshop on Workload Characterization.

Shiliang Hu, Ruby B. Lee, Youfeng Wu, 2008 .

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ruby B. Lee, Wei-Han Lee, R. Lee, 2017, 2017 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Ruby B. Lee, Wei-Han Lee, R. Lee, 2017, 2017 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Ruby B. Lee, Bongjun Ko, Jorge Ortiz, 2018, ICISSP.

Hongxia Jin, Xiaochen Liu, Ruby B. Lee, 2017, SACMAT.

Ruby B. Lee, Jakub Szefer, Pramod A. Jamkhedkar, 2012, IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN 2012).

Ruby B. Lee, A. Murat Fiskiran, A. M. Fiskiran, 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

Lionel Torres, Ruby B. Lee, Catherine H. Gebotys, 2009, Trans. Comput. Sci..

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

Srivaths Ravi, Anand Raghunathan, Ruby B. Lee, 2004, Proceedings. 41st Design Automation Conference, 2004..

Ruby B. Lee, Zhijie Jerry Shi, R. Lee, 2000, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors.

Ruby B. Lee, Wei-Han Lee, R. Lee, 2015, ICISSP.

Ruby B. Lee, David K. Karig, John Patrick McGregor, 2004, SPC.

Ruby B. Lee, Jakub Szefer, Diego Perez-Botero, 2013, Cloud Computing '13.

Ruby B. Lee, Fangfei Liu, R. Lee, 2013, HASP '13.

Ruby B. Lee, Yu-Yuan Chen, R. Lee, 2009, ISC.

Ruby B. Lee, John Patrick McGregor, Yiqun Lisa Yin, 2005, ACNS.

Jianwei Huang, Mung Chiang, Dahai Xu, 2007, 2007 IEEE International Symposium on Information Theory.

Ruby B. Lee, Jakub Szefer, Tianwei Zhang, 2018, HASP@MICRO.

N. R. Potlapally, C. Gebotys, L. Torres, 2009, Trans. Comput. Sci..

Michael J. Flynn, Ruby B. Lee, Daniel F. Zucker, 2000, IEEE Trans. Circuits Syst. Video Technol..

Ruby B. Lee, John Patrick McGregor, R. Lee, 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

Ruby B. Lee, Zhijie Jerry Shi, R. Lee, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Ruby B. Lee, Tianwei Zhang, Yinqian Zhang, 2018, ACSAC.

Ruby B. Lee, Jakub Szefer, Ray C. C. Cheung, 2011, 2011 22nd IEEE International Symposium on Rapid System Prototyping.

Ruby B. Lee, John Patrick McGregor, R. Lee, 2005, CARN.