Leland Chang

发表

C. Hu, D. Hisamoto, Wen-Chin Lee, 2001 .

David Blaauw, Dennis Sylvester, Daeyeon Kim, 2009, ISLPED.

Leland Chang, F.H. Gebara, R.K. Montoye, 2007, 2007 IEEE Symposium on VLSI Circuits.

Jeffrey Bokor, Shiying Xiong, Pushkar Ranade, 2003, Proc. IEEE.

J. Bokor, Leland Chang, Tsu-Jae King, 2002, Digest. International Electron Devices Meeting,.

Vivek Subramanian, Jeffrey Bokor, Chenming Hu, 1999 .

Y. Yeo, C. Hu, T. King, 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).

L. Esaki, P. Voisin, Leland Chang, 1986 .

Wilfried Haensch, Leland Chang, W. Haensch, 2012, DAC Design Automation Conference 2012.

Robert H. Dennard, Wilfried Haensch, Leland Chang, 2010, Proceedings of the IEEE.

Robert H. Dennard, Paul W. Coteus, Leland Chang, 2010 .

Bin Yu, Haihong Wang, Qi Xiang, 2002, Digest. International Electron Devices Meeting,.

Joel Silberman, Swagath Venkataramani, Matthew M. Ziegler, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2019, 2019 IEEE International Symposium on Workload Characterization (IISWC).

Hiroshi Inoue, Swagath Venkataramani, Moriyoshi Ohara, 2019, IEEE Micro.

Swagath Venkataramani, Vijayalakshmi Srinivasan, George Gristede, 2018, IEEE Solid-State Circuits Letters.

Jing Li, Leland Chang, Robert K. Montoye, 2014, IEEE Journal of Solid-State Circuits.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Shubham Jain, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Joel Silberman, Swagath Venkataramani, Mingu Kang, 2021, 2021 IEEE International Solid- State Circuits Conference (ISSCC).

Yong Liu, Leland Chang, K. Gopalakrishnan, 2013, IEEE Transactions on Electron Devices.

H. R. Chandrasekhar, L. Esaki, Leland Chang, 1990 .

Henry I. Smith, L. Pfeiffer, D. Kern, 1989 .

R.H. Dennard, R.K. Montoye, W. Haensch, 2008, IEEE Journal of Solid-State Circuits.

Peilin Song, Takeshi Nishida, Scott Lewis, 2013, 2013 Symposium on VLSI Circuits.

Yong Liu, Bernard Brezzo, Daniel J. Friedman, 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

Leland Chang, H. Munekata, T. Penney, 1992 .

David Blaauw, Dennis Sylvester, Daeyeon Kim, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ki-Tae Park, Takashi Kono, Leland Chang, 2017, ISSCC.

L. Esaki, Leland Chang, E. E. Méndez, 1985 .

Paul M. Solomon, Wilfried Haensch, Leland Chang, 2010 .

Swagath Venkataramani, Xiao Sun, Mingu Kang, 2020, Proceedings of the IEEE.

L. Esaki, P. Voisin, Leland Chang, 1986 .

Swagath Venkataramani, Xiao Sun, Mingu Kang, 2020, 2020 IEEE Symposium on VLSI Circuits.

Swagath Venkataramani, Philip Heidelberger, Vijayalakshmi Srinivasan, 2019, 2019 IEEE 26th International Conference on High Performance Computing, Data, and Analytics (HiPC).

Leland Chang, E. E. Méndez, 1990 .

Joel Silberman, Swagath Venkataramani, Matthew M. Ziegler, 2018, 2018 IEEE Symposium on VLSI Circuits.

Leland Chang, L. L. Chang, P. A. Snow, 1989 .

Chung Lam, Luis A. Lastras, Jing Li, 2011, 2011 3rd IEEE International Memory Workshop (IMW).

L. Esaki, G. Bastard, P. Voisin, 1985 .

Jeffrey Bokor, Leland Chang, N. Lindert, 2003 .

Borivoje Nikolic, Leland Chang, Tsu-Jae King, 2003 .

L. Esaki, A. Segmüller, Leland Chang, 1974 .

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2017, 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).