S. Katkoori

发表

A. Stoica, D. Keymeulen, S. Graves, 2007, 2007 IEEE Aerospace Conference.

S. Katkoori, P. Samudrala, J. Ramos, 2004, IEEE Transactions on Nuclear Science.

Love Kumar Sah, S. Katkoori, S. A. Islam, 2019, 2019 IEEE International Symposium on Smart Electronic Systems (iSES) (Formerly iNiS).

S. Katkoori, S. A. Islam, 2021, Behavioral Synthesis for Hardware Security.

Srinivas Katkoori, Chandramouli Gopalakrishnan, S. Katkoori, 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..

Md. Adnan Zaman, S. Katkoori, Rajeev Joshi, 2022, ACM J. Emerg. Technol. Comput. Syst..

Md. Adnan Zaman, G. Deptuch, S. Katkoori, 2022, 2022 23rd International Symposium on Quality Electronic Design (ISQED).

Srinivas Katkoori, N. Ranganathan, Santosh Aditham, 2017, 2017 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW).

Redwan Alqasemi, Srinivas Katkoori, Lal Bozgeyikli, 2018, IEEE Transactions on Learning Technologies.

Srinivas Katkoori, Hariharan Sankaran, S. Katkoori, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srinivas Katkoori, Soumyaroop Roy, N. Ranganathan, 2009, 2009 IEEE International Symposium on Circuits and Systems.

Srinivas Katkoori, Soumyaroop Roy, N. Ranganathan, 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

Srinivas Katkoori, Matthew Morrison, Matthew Lewandowski, 2012, 2012 IEEE International Symposium on Hardware-Oriented Security and Trust.

Redwan Alqasemi, Rajiv V. Dubey, Srinivas Katkoori, 2017, ACM Trans. Access. Comput..

Srinivas Katkoori, Soumyaroop Roy, N. Ranganathan, 2009, 2009 IEEE International Conference on Computer Design.

Sheikh Ariful Islam, Srinivas Katkoori, Sujana Kakarla, 2020, Integr..

S. Katkoori, N. Kumar, L. Rader, 1995, IEEE Design & Test of Computers.

Rajiv V. Dubey, Srinivas Katkoori, Evren Bozgeyikli, 2019, Int. J. Hum. Comput. Stud..

Redwan Alqasemi, Srinivas Katkoori, Lal Bozgeyikli, 2018, ACM Trans. Access. Comput..

Rajiv V. Dubey, Srinivas Katkoori, Evren Bozgeyikli, 2016, CHI PLAY.

Rajiv V. Dubey, Srinivas Katkoori, Evren Bozgeyikli, 2016, SUI.

Redwan Alqasemi, Srinivas Katkoori, Lal Bozgeyikli, 2016, FGE@CHI PLAY.

Srinivas Katkoori, Vyas Krishnan, S. Katkoori, 2006, IEEE Transactions on Evolutionary Computation.

Dinesh Bhatia, Ranga Vemuri, Anurag Gupta, 1997, Proceedings of ASP-DAC '97: Asia and South Pacific Design Automation Conference.

Ranga Vemuri, Srinivas Katkoori, N. Kumar, 1995, Proceedings of ASP-DAC'95/CHDL'95/VLSI'95 with EDA Technofair.

Ranga Vemuri, Srinivas Katkoori, R. Vemuri, 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.

Ranga Vemuri, Srinivas Katkoori, R. Vemuri, 1998, VLSI Design.

Shilpa Pendyala, Sheikh Ariful Islam, Srinivas Katkoori, 2020, ACM Trans. Design Autom. Electr. Syst..

Shilpa Pendyala, Srinivas Katkoori, S. Katkoori, 2014, 2014 22nd International Conference on Very Large Scale Integration (VLSI-SoC).

Srinivas Katkoori, Suvodeep Gupta, S. Katkoori, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srinivas Katkoori, Suvodeep Gupta, S. Katkoori, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Srinivas Katkoori, Suvodeep Gupta, S. Katkoori, 2004, 17th International Conference on VLSI Design. Proceedings..

Srinivas Katkoori, Suvodeep Gupta, S. Katkoori, 2004 .

Swaroop Ghosh, Srinivas Katkoori, Rekha Govindaraj, 2020, IEEE Transactions on Dependable and Secure Computing.

Sheikh Ariful Islam, Srinivas Katkoori, Love Kumar Sah, 2020, ACM Trans. Design Autom. Electr. Syst..

Sheikh Ariful Islam, Srinivas Katkoori, S. Katkoori, 2018, 2018 19th International Symposium on Quality Electronic Design (ISQED).

Adrian Stoica, Srinivas Katkoori, Didier Keymeulen, 2010, 2008 IEEE International Symposium on Parallel and Distributed Processing.

Adrian Stoica, Srinivas Katkoori, Didier Keymeulen, 2008, IEEE Transactions on Evolutionary Computation.

Swaroop Ghosh, Srinivas Katkoori, Rekha Govindaraj, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

S. Katkoori, Hariharan Sankaran, Umadevi Kailasam, 2005, Third IEEE International Conference on Pervasive Computing and Communications.

S. Katkoori, Rajeev Joshi, Lakshmi Kavya Kalyanam, 2022, 2022 IEEE International Symposium on Smart Electronic Systems (iSES).

Srinivas Katkoori, Stelian Alupoaei, S. Katkoori, 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srinivas Katkoori, Chandra Sekhar Paidimarry, B. Pradeep Kumar, 2013, 2013 Annual IEEE India Conference (INDICON).

Srinivas Katkoori, Stelian Alupoaei, S. Katkoori, 2004, J. VLSI Signal Process..

Sheikh Ariful Islam, Srinivas Katkoori, Love Kumar Sah, 2020, Journal of Hardware and Systems Security.

Redwan Alqasemi, Rajiv V. Dubey, Srinivas Katkoori, 2018, HCI.

Redwan Alqasemi, Srinivas Katkoori, Lal Bozgeyikli, 2017, HCI.

Srinivas Katkoori, Soumyaroop Roy, N. Ranganathan, 2011, IEEE Transactions on Computers.

S. Katkoori, Omkar Dokur, 2022, 2022 IEEE International Symposium on Smart Electronic Systems (iSES).

Mehran Mozaffari Kermani, S. Katkoori, Robert Karam, 2022, 2022 IEEE World Engineering Education Conference (EDUNINE).