P. Restle

发表

Pong-Fei Lu, P.J. Restle, J. Warnock, 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

Y. Taur, P.J. Restle, G.G. Shahidi, 1992, IEEE Electron Device Letters.

J. Petrovick, J. D. Warnock, J. M. Keaty, 2002, IBM J. Res. Dev..

M. Weissman, P. Restle, R. Black, 1982 .

Keith A. Jenkins, John D. Cressler, Bernard S. Meyerson, 1993, Proceedings of IEEE International Electron Devices Meeting.

J. Aitken, J. Ott, P. Restle, 1991, International Electron Devices Meeting 1991 [Technical Digest].

D. Harame, E. Crabbé, J. Stork, 1994 .

J.M.C. Stork, S. Verdonckt-Vandebroek, D. Harame, 1991, 1991 Symposium on VLSI Technology.

P. J. Restle, Subramanian S. Iyer, V. P. Kesan, 1991, 1991 Symposium on VLSI Technology.

M. Weissman, P. Restle, R. Black, 1983 .

Ibrahim M. Elfadel, Phillip Restle, Joel R. Phillips, 1998, ICCAD.

Haifeng Qian, Phillip Restle, Joseph N. Kozhaya, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Keith A. Jenkins, Robert H. Dennard, Gerard V. Kopcsay, 1997 .

M. Weissman, P. Restle, R. Black, 1983 .

J., P. Restle, Verdonckt-Vandebroek, 2004 .

William V. Huott, Phillip Restle, Bradley D. McCredie, 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

D. Harame, E. Crabbé, J. Stork, 1991, IEEE Electron Device Letters.

Keith A. Jenkins, Alina Deutsch, Peter W. Cook, 1998, IEEE J. Solid State Circuits.

R. Dennard, Y. Taur, K. Petrillo, 1988, ESSDERC '88: 18th European Solid State Device Research Conference.

Matthew M. Ziegler, Balaram Sinharoy, Victor V. Zyuban, 2014, 2014 IEEE International Conference on IC Design & Technology.

R.H. Dennard, P.J. Restle, H. Schmid, 1987, IEEE Electron Device Letters.

J. W. Park, P. J. Restle, J. W. Park, 1992, 1992 International Technical Digest on Electron Devices Meeting.

K.A. Jenkins, P.J. Restle, C.A. Carter, 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

Alina Deutsch, P. J. Restle, P. Restle, 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).

E. Cartier, V. Narayanan, H. Jagannathan, 2020, ECS Meeting Abstracts.

Christopher Berry, Alper Buyuktosunoglu, Anthony Saporito, 2019, IEEE Journal of Solid-State Circuits.

Paul W. Coteus, Gerard V. Kopcsay, Alina Deutsch, 1999 .

George Papadopoulos, Albert E. Ruehli, Phillip Restle, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

K.L. Shepard, P.J. Restle, S.C. Chan, 2005, IEEE Journal of Solid-State Circuits.

K.L. Shepard, P.J. Restle, S.C. Chan, 2006, IEEE Journal of Solid-State Circuits.

Christopher Gonzalez, Ruchir Puri, Juergen Pille, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).