Jie Deng

发表

Aaron K. Friesz, A. Parker, Chongwu Zhou, 2006 .

H. Wong, G. Wan, T. Krishnamohan, 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

H.-S. Philip Wong, Jie Deng, Nishant Patil, 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

H. Wong, Jie Deng, K. Ghosh, 2007, IEEE Sensors Journal.

H.-S. Philip Wong, Jie Deng, Albert Lin, 2009 .

H.-S. Philip Wong, Jie Deng, Gordon C. Wan, 2006, IEEE/ACM International Conference on Computer-Aided Design.

Ching-Te Chuang, Keunwoo Kim, Jie Deng, 2007, IEEE Transactions on Electron Devices.

Ching-Te Chuang, H.-S. Philip Wong, Keunwoo Kim, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Hai Wei, Jie Zhang, H.-S. Philip Wong, 2011, 2011 International Electron Devices Meeting.

H.-S. Philip Wong, Jie Deng, Lan Wei, 2009, 2009 International Conference on Simulation of Semiconductor Processes and Devices.

H.-S. Philip Wong, Jie Deng, Nishant Patil, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

H.-S. Philip Wong, Jie Deng, Islamshah Amlani, 2006, 2006 International Electron Devices Meeting.