W. Goh

发表

Jun Yu, Minkyu Je, Wang Ling Goh, 2012, 2012 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT).

Wang Ling Goh, Yuan Gao, Arish Shareef, 2019, IEEE Transactions on Power Electronics.

W. Hong, W. Goh, Y. Xiong, 2011, 2011 IEEE Electrical Design of Advanced Packaging and Systems Symposium (EDAPS).

W. Goh, Yuan Gao, Wei Zhou, 2019, 2019 Electron Devices Technology and Manufacturing Conference (EDTM).

W. Goh, Y. Xiong, Yihu Li, 2015, IEEE Transactions on Terahertz Science and Technology.

C. H. Hung, K. Leung, W. Goh, 2016, 2016 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC).

Yong-Zhong Xiong, Wang Ling Goh, W. Goh, 2015, IEEE Transactions on Terahertz Science and Technology.

Xin Li, Wang Ling Goh, Chiang Liang Kok, 2013, 2013 International SoC Design Conference (ISOCC).

W. Goh, Yong Wang, X. Mu, 2017 .

M. T. Tan, W. Goh, Jun Yu, 2011, 2011 International Symposium on Integrated Circuits.

V. P. Nambiar, W. Goh, A. Do, 2022, IEEE Transactions on Circuits and Systems II: Express Briefs.

Weisi Lin, Guosheng Lin, Jiashi Feng, 2018, 2018 IEEE/CVF Conference on Computer Vision and Pattern Recognition.

Yong-Zhong Xiong, Chang Liu, Wang Ling Goh, 2012, IEEE Journal of Solid-State Circuits.

Wang Ling Goh, Jiacheng Wang, L. H. Koh, 2015, 2015 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC).

Jun Zhou, Jianwen Luo, Xin Liu, 2014, IEEE Journal of Solid-State Circuits.

Yong-Zhong Xiong, Wei Hong, Sanming Hu, 2012, IEEE Transactions on Antennas and Propagation.

Yong-Zhong Xiong, Wei Hong, Jixin Chen, 2014, IEEE Antennas and Propagation Magazine.

Wang Ling Goh, Lap Chan, Tai Yong Teo, 2003, SPIE Advanced Lithography.

Meng Tong Tan, Wang Ling Goh, M. T. Tan, 2012, IEEE Transactions on Power Electronics.

Jun Yu, Meng Tong Tan, Wang Ling Goh, 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

Jun Yu, Meng Tong Tan, Wang Ling Goh, 2012, IEEE Transactions on Circuits and Systems II: Express Briefs.

Wang Ling Goh, Yuan Gao, Tiancheng Cao, 2020, 2020 3rd International Conference on Intelligent Autonomous Systems (ICoIAS).

Zhi-Hui Kong, Kiat Seng Yeo, Wang Ling Goh, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jin He, Wei Hong, Wang Ling Goh, 2012, IEEE Transactions on Microwave Theory and Techniques.

W. Goh, Jinhai Hu, Yuan Gao, 2023, 2023 IEEE International Symposium on Circuits and Systems (ISCAS).

Weisi Lin, Guosheng Lin, Wang Ling Goh, 2019, 2019 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Guosheng Lin, W. Goh, Zichuan Liu, 2020, International Journal of Computer Vision.

Xiaokang Yang, Guosheng Lin, Wang Ling Goh, 2018, ArXiv.

Kiat Seng Yeo, Wang Ling Goh, Khaing Yin Kyaw, 2010, 2010 IEEE International Conference of Electron Devices and Solid-State Circuits (EDSSC).

Jia Hao Cheong, Wang Ling Goh, Prasad Kumara Sampath Jayathurathnage, 2017, IEEE Transactions on Transportation Electrification.

Wang Ling Goh, Huaqun Guo, Elvira Febiani, 2018, 2018 IEEE International Conference on Service Operations and Logistics, and Informatics (SOLI).

W. Goh, Yuan Gao, Jinhai Hu, 2023, International Conference on Artificial Intelligence Circuits and Systems.

W. Goh, A. Do, M. Wong, 2021, Asian Hardware-Oriented Security and Trust Symposium.

Wang Ling Goh, Yuan Gao, Jinhai Hu, 2021, 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Yongliang Wang, Fengbo Ren, Wang Ling Goh, 2019, IEEE Transactions on Industrial Electronics.

Yong-Zhong Xiong, Wang Ling Goh, Sanming Hu, 2010, 2010 IEEE Electrical Design of Advanced Package & Systems Symposium.

W. Goh, T. T. Kim, A. Do, 2023, 2023 IEEE International Symposium on Circuits and Systems (ISCAS).

Wang Ling Goh, Kheong Sann Chan, Sari Shafidah Binte Shafiee, 2016, 2016 International Conference on Computing, Networking and Communications (ICNC).

Wang Ling Goh, Melvin Heng Li Lim, W. Goh, 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

Wang Ling Goh, Melvin Heng Li Lim, W. Goh, 2013, 2013 9th International Conference on Information, Communications & Signal Processing.

Wang Ling Goh, Kheong Sann Chan, S. M. Ehsan Hosseiniy, 2009, Proceedings of the 2009 12th International Symposium on Integrated Circuits.

Wang Ling Goh, Kheong Sann Chan, S.M.E. Hosseini, 2008, 2008 2nd International Conference on Signals, Circuits and Systems.

V. P. Nambiar, Y. Ong, W. Goh, 2022, 2022 IEEE International Symposium on Circuits and Systems (ISCAS).

Wang Ling Goh, Yuan Gao, Wei Zhou, 2020, IEEE Transactions on Circuits and Systems II: Express Briefs.

Wei Zhou, Wang Ling Goh, Yuan Gao, 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS).

Wei Zhou, Jia Hao Cheong, Wang Ling Goh, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Jun Zhou, Xin Liu, Wang Ling Goh, 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.

Wang Ling Goh, Jiacheng Wang, W. Goh, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Yong Wang, Yong-Zhong Xiong, Wang Ling Goh, 2012, 2012 IEEE International Solid-State Circuits Conference.

Yong-Zhong Xiong, Wang Ling Goh, W. Goh, 2015, IEEE Microwave and Wireless Components Letters.

Yong-Zhong Xiong, Wei Hong, Debin Hou, 2012, IEEE Microwave and Wireless Components Letters.

Jianping Guo, Ka Nang Leung, Xian Tang, 2016, IEEE Transactions on Power Electronics.

Jianping Guo, Marco Ho, Ka Nang Leung, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jianping Guo, Marco Ho, Ka Nang Leung, 2015, IEEE Journal of Solid-State Circuits.

Chundong Wu, Wang Ling Goh, Wanlan Yang, 2015, 2015 IEEE Custom Integrated Circuits Conference (CICC).

Gang Wang, Kiat Seng Yeo, Ning Zhu, 2009, 2010 International SoC Design Conference.

W. Goh, Sathishkumar Rangasamy, Z. Zhigang, 2021, 2021 3rd International Conference on Electrical Engineering and Control Technologies (CEECT).

Lei Yao, Minkyu Je, Wang Ling Goh, 2013, 2013 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).

Yong Wang, Wang Ling Goh, Yan Hong, 2016, 2016 International Symposium on Integrated Circuits (ISIC).

Kiat Seng Yeo, Ning Zhu, Wang Ling Goh, 2011, 2011 International SoC Design Conference.

Kiat Seng Yeo, Wang Ling Goh, Zhi Hui Kong, 2010, 2010 International SoC Design Conference.

Zhi-Hui Kong, Bilge E. S. Akgul, Kiat-Seng Yeo, 2008, 2008 IEEE International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Guosheng Lin, Wang Ling Goh, Zichuan Liu, 2020, International Journal of Computer Vision.

Jia Hao Cheong, Minkyu Je, Wang Ling Goh, 2013, 2013 IEEE MTT-S International Microwave Workshop Series on RF and Wireless Technologies for Biomedical and Healthcare Applications (IMWS-BIO).

W. Goh, A. Do, Qibang Zang, 2023, 2023 IEEE 5th International Conference on Artificial Intelligence Circuits and Systems (AICAS).