Sheng Li

发表

Jung Ho Ahn, Norman P. Jouppi, Dean M. Tullsen, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Yuan Xie, Norman P. Jouppi, Jishen Zhao, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Jung Ho Ahn, Ke Chen, Norman P. Jouppi, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jung Ho Ahn, Ke Chen, Norman P. Jouppi, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Norman P. Jouppi, Lifeng Nai, Yuan Xie, 2021, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

O Seongil, Jung Ho Ahn, Norman P. Jouppi, 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Pradeep Dubey, Sukhan Lee, O Seongil, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Quoc V. Le, Norman P. Jouppi, Liqun Cheng, 2021, 2021 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Ke Chen, Norman P. Jouppi, Sheng Li, 2011, 2011 International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

Jung Ho Ahn, Ke Chen, Yuan Xie, 2012, 2012 International Conference for High Performance Computing, Networking, Storage and Analysis.

Sheng Li, Peter M. Kogge, Jay B. Brockman, 2008, 2008 IEEE International Symposium on Parallel and Distributed Processing.

Sukhan Lee, Jung Ho Ahn, Sheng Li, 2017, 2017 IEEE International Symposium on Workload Characterization (IISWC).

Yuan Xie, Jishen Zhao, Sheng Li, 2015, ACM Trans. Archit. Code Optim..

Pradeep Dubey, Sukhan Lee, O Seongil, 2016, IEEE Micro.