Yaqi Zhang

发表

Kunle Olukotun, Christina Delimitrou, Yaqi Zhang, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Yaqi Zhang, Raghu Prabhakar, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

J. Ullman, K. Olukotun, R. Prabhakar, 2019, TPCTC.

Kunle Olukotun, Christina Delimitrou, Christoforos E. Kozyrakis, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Christoforos E. Kozyrakis, Yaqi Zhang, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Tian Zhao, K. Olukotun, R. Prabhakar, 2021, MICRO.

Yaqi Zhang, Kunle Olukotun, Raghu Prabhakar, 2020, The Frontiers Collection.

Kunle Olukotun, Yaqi Zhang, Muhammad Shahbaz, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Yaqi Zhang, Alexander Rucker, Matthew Vilim, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Christoforos E. Kozyrakis, Yaqi Zhang, 2018, PLDI.

Tian Zhao, K. Olukotun, Matthew Feldman, 2017 .

Tian Zhao, Luigi Nardi, K. Olukotun, 2018, PLDI.

Tian Zhao, Luigi Nardi, K. Olukotun, 2018, PLDI.

Kunle Olukotun, Christoforos E. Kozyrakis, Yaqi Zhang, 2018, IEEE Micro.

Wanli Ouyang, B. Liu, Nenghai Yu, 2023, AAAI.