Xin Yuan

发表

J. Cong, Chin-Chih Chang, Xin Yuan, 2003, Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003..

J. Cong, M. Xie, Xin Yuan, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Xin Yuan, Xiaoping Tang, Xin Yuan, 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

Fook-Luen Heng, Xin Yuan, Robert J. Allen, 2005, ISPD '05.

Jason Cong, David Z. Pan, Xin Yuan, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Joseph R. Shinnerl, Jason Cong, Min Xie, 2005, TODE.

Jason Cong, Xin Yuan, J. Cong, 2000, Proceedings 37th Design Automation Conference.

Puneet Gupta, Sani R. Nassif, Xin Yuan, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Sani R. Nassif, Xin Yuan, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Jason Cong, Xin Yuan, Hui Huang, 2000, FPGA '00.