A. Yazdanbakhsh

发表

Onur Mutlu, Todd C. Mowry, Gennady Pekhimenko, 2014, 2014 23rd International Conference on Parallel Architecture and Compilation (PACT).

Onur Mutlu, Todd C. Mowry, Gennady Pekhimenko, 2016, ACM Trans. Archit. Code Optim..

Sergey Levine, Aviral Kumar, Kevin Swersky, 2021, ArXiv.

Hadi Esmaeilzadeh, Jongse Park, Divya Mahajan, 2015 .

Amir Yazdanbakhsh, Kevin Swersky, Milad Hashemi, 2021, ArXiv.

H. Esmaeilzadeh, A. Yazdanbakhsh, Mingu Kang, 2022, ISCA.

A. Yazdanbakhsh, Mingu Kang, Ashkan Moradifirouzabadi, 2022, 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO).

Charith Mendis, P. Phothilimthana, A. Yazdanbakhsh, 2022, 2022 IEEE International Symposium on Workload Characterization (IISWC).

A. Yazdanbakhsh, T. Krishna, Sheng-Chun Kao, 2022, ArXiv.

James Laudon, Amir Yazdanbakhsh, Berkin Akin, 2021, ArXiv.

Gaurav Agrawal, A. Yazdanbakhsh, T. Krishna, 2021, ASPLOS.

Mingxing Tan, Berkin Akin, Yanqi Zhou, 2022, MLSys.

Berkin Akin, J. Laudon, Ravi Narayanaswami, 2021, 2022 IEEE International Symposium on Workload Characterization (IISWC).

Navdeep Jaitly, Azalia Mirhoseini, Ebrahim M. Songhori, 2019 .

Nam Sung Kim, Hadi Esmaeilzadeh, Amir Yazdanbakhsh, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

H. Esmaeilzadeh, Mohammad Alian, A. Yazdanbakhsh, 2023, ArXiv.

Nam Sung Kim, Hadi Esmaeilzadeh, Kambiz Samadi, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

S. Levine, Aviral Kumar, Kevin Swersky, 2021, ICLR.

Hadi Esmaeilzadeh, Jongse Park, Divya Mahajan, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Rajesh K. Gupta, Hadi Esmaeilzadeh, Kambiz Samadi, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Aart J. C. Bik, Johan S. Obando-Ceron, P. S. Castro, 2023, ArXiv.

Graham Neubig, Milad Hashemi, Aman Madaan, 2023, ArXiv.

Bodhisattwa Prasad Majumder, Niket Tandon, Peter Clark, 2023, 2303.17651.

Hadi Esmaeilzadeh, Amir Yazdanbakhsh, Prannoy Pilligundla, 2020, ICLR.

Luis Ceze, Doug Burger, Hadi Esmaeilzadeh, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Nam Sung Kim, Hadi Esmaeilzadeh, Pejman Lotfi-Kamran, 2018, PACT.

Bodhisattwa Prasad Majumder, Niket Tandon, Peter Clark, 2023, NeurIPS.

Hadi Esmaeilzadeh, Ahmed T. Elthakeb, Amir Yazdanbakhsh, 2018 .

Farshad Firouzi, Sied Mehdi Fakhraie, Amir Yazdanbakhsh, 2010, 13th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems.

Hadi Esmaeilzadeh, Ahmed T. Elthakeb, Amir Yazdanbakhsh, 2018, ArXiv.

Nam Sung Kim, Hadi Esmaeilzadeh, Kambiz Samadi, 2018, 2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Hadi Esmaeilzadeh, Ahmed T. Elthakeb, Amir Yazdanbakhsh, 2020, IEEE Micro.

Chris De Sa, A. Yazdanbakhsh, Yucheng Lu, 2023, ICML.

Hadi Esmaeilzadeh, Jongse Park, Amir Yazdanbakhsh, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Todd C. Mowry, Gennady Pekhimenko, 2016, IEEE Design & Test.

Mingxing Tan, James Laudon, Yanqi Zhou, 2021, ArXiv.

Hadi Esmaeilzadeh, Jongse Park, Amir Yazdanbakhsh, 2017 .

Hadi Esmaeilzadeh, Amir Yazdanbakhsh, Divya Mahajan, 2016 .

Kia Bazargan, Hadi Esmaeilzadeh, Jongse Park, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Sied Mehdi Fakhraie, Amir Yazdanbakhsh, Mostafa E. Salehi, 2012, J. Syst. Archit..

Navdeep Jaitly, Azalia Mirhoseini, Ebrahim M. Songhori, 2020 .

H. Esmaeilzadeh, A. Yazdanbakhsh, Mingu Kang, 2022 .

Hadi Esmaeilzadeh, Divya Mahajan, Pejman Lotfi-Kamran, 2017, IEEE Design & Test.

H. Esmaeilzadeh, Mohammad Alian, A. Yazdanbakhsh, 2023, ASPLOS.

H. Esmaeilzadeh, Jongse Park, A. Yazdanbakhsh, 2024, ASPLOS.

H. Esmaeilzadeh, A. Yazdanbakhsh, R. Mahapatra, 2023, ISCA.

H. Esmaeilzadeh, A. Yazdanbakhsh, Mingu Kang, 2022, ISCA.

H. Esmaeilzadeh, A. Yazdanbakhsh, Mingu Kang, 2022 .

Farshad Firouzi, Sied Mehdi Fakhraie, Amir Yazdanbakhsh, 2011, 2011 14th Euromicro Conference on Digital System Design.

Farshad Firouzi, Amir Yazdanbakhsh, Mostafa E. Salehi, 2010, 2010 5th International Conference on Future Information Technology.