Yu-hsin Chen

发表

V. Stojanović, Chen Sun, Yu-hsin Chen, 2013, 2013 Conference on Lasers and Electro-Optics Pacific Rim (CLEOPR).

Vivienne Sze, Joel S. Emer, Yu-Hsin Chen, 2017, Proceedings of the IEEE.

Vivienne Sze, Tien-Ju Yang, Yu-Hsin Chen, 2018, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Chen Sun, Karan Mehta, Rajeev J. Ram, 2015, IEEE J. Solid State Circuits.

Rajeev J Ram, M. Popović, V. Stojanović, 2014, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.

Aravind Srinivasan, Chen Sun, Vladimir Stojanovic, 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.

Vivienne Sze, Joel S. Emer, Tushar Krishna, 2017, IEEE Journal of Solid-State Circuits.

V. Sze, J. Emer, Yu-hsin Chen, 2016, IEEE Journal of Solid-State Circuits.

V. Sze, J. Emer, Yu-hsin Chen, 2020 .

V. Sze, J. Emer, Amr Suleiman, 2018, 2018 IEEE Custom Integrated Circuits Conference (CICC).

V. Sze, J. Emer, Yu-hsin Chen, 2016, IEEE Journal of Solid-State Circuits.

Joel Emer, Vivienne Sze, Tien-Ju Yang, 2017, 2017 51st Asilomar Conference on Signals, Systems, and Computers.

Joel Emer, Vivienne Sze, Tien-Ju Yang, 2020, Synthesis Lectures on Computer Architecture.

Rajeev J. Ram, Fabio Pavanello, Mark T. Wade, 2015, Nature.

Vivienne Sze, Yu-Hsin Chen, Tien-Ju Yang, 2016, 2017 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).

Vivienne Sze, Joel S. Emer, Tien-Ju Yang, 2020, IEEE Solid-State Circuits Magazine.

Brucek Khailany, Stephen W. Keckler, Joel S. Emer, 2019, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Vivienne Sze, Joel S. Emer, Yu-Hsin Chen, 2017, IEEE Micro.

Vivienne Sze, Joel S. Emer, Yu-Hsin Chen, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Vivienne Sze, Zhengdong Zhang, Yu-Hsin Chen, 2017, 2017 IEEE Custom Integrated Circuits Conference (CICC).

Vivienne Sze, Joel S. Emer, Yu-Hsin Chen, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Hyoukjun Kwon, Tushar Krishna, Vikas Chandra, 2020, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Douglas Coolbaugh, Vladimir Stojanovic, Sen Lin, 2015, ESSCIRC Conference 2015 - 41st European Solid-State Circuits Conference (ESSCIRC).

Liang-Hung Lu, Hsieh-Hung Hsieh, Yu-Hsin Chen, 2008, IEEE Transactions on Microwave Theory and Techniques.

Tara N. Sainath, Mirkó Visontai, Ignacio Lopez-Moreno, 2015, INTERSPEECH.

V. Sze, Amr Suleiman, Zhengdong Zhang, 2016, 2018 IEEE Custom Integrated Circuits Conference (CICC).

V. Sze, J. Emer, Yu-hsin Chen, 2020, Synthesis Lectures on Computer Architecture.

Vivienne Sze, Yu-Hsin Chen, V. Sze, 2014, IEEE Transactions on Circuits and Systems for Video Technology.

V. Sze, J. Emer, Yu-hsin Chen, 2016, IEEE Journal of Solid-State Circuits.

Vladimir Stojanović, Miloš A. Popović, Rajeev J. Ram, 2015, Photonics West - Optoelectronic Materials and Devices.

V. Sze, J. Emer, Yu-hsin Chen, 2016, International Symposium on Computer Architecture.

Liangzhen Lai, V. Chandra, Michael Pellauer, 2020, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

V. Sze, J. Emer, Yu-hsin Chen, 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).