Philo Juang

发表

Margaret Martonosi, Kevin Skadron, Stefanos Kaxiras, 2002, ISLPED '02.

Margaret Martonosi, Qiang Wu, Douglas W. Clark, 2005, 11th International Symposium on High-Performance Computer Architecture.

Margaret Martonosi, Qiang Wu, Li-Shiuan Peh, 2005, IEEE Micro.

Margaret Martonosi, Qiang Wu, Douglas W. Clark, 2004, ASPLOS XI.

Margaret Martonosi, Kevin Skadron, Stefanos Kaxiras, 2002, IEEE Computer Architecture Letters.

Margaret Martonosi, Qiang Wu, Li-Shiuan Peh, 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

Margaret Martonosi, Kevin Skadron, Stefanos Kaxiras, 2004, TACO.

Margaret Martonosi, Li-Shiuan Peh, Julia Chen, 2005, CARN.

Margaret Martonosi, Kevin Skadron, Zhigang Hu, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

K. Skadron, Philo Juang, S. Kaxiras, 2002, Proceedings of the International Symposium on Low Power Electronics and Design.