Yeseong Kim
发表
Tajana Simunic,
Yeseong Kim,
Shruti Patil,
2015,
MobiCASE.
T. Rosing,
Yeseong Kim,
M. Imani,
2017
.
Yeseong Kim,
R. Fass,
Jiasheng Wang,
2021,
Alimentary pharmacology & therapeutics.
T. Rosing,
Yeseong Kim,
M. Imani,
2020
.
T. Rosing,
Yeseong Kim,
Behnam Khaleghi,
2018
.
Yeseong Kim,
M. Imani,
H. Alimohamadi,
2022,
Scientific reports.
Yeseong Kim,
M. Imani,
Yang Ni,
2022,
ArXiv.
Yeseong Kim,
Jiasheng Wang,
Chang H. Kim,
2021,
Mayo Clinic proceedings.
Yeseong Kim,
R. Fass,
S. Ganocy,
2020,
Neurogastroenterology and motility : the official journal of the European Gastrointestinal Motility Society.
Yeseong Kim,
N. Srinivasa,
M. Imani,
2022,
Frontiers in Neuroscience.
Hanning Chen,
Elaheh Sadredini,
Yeseong Kim,
2022,
ISCA.
Yeseong Kim,
Jiasheng Wang,
2020,
International Journal of Hematology.
Yeseong Kim,
M. Imani,
Yang Ni,
2022,
ArXiv.
Yeseong Kim,
Behnam Khaleghi,
Tajana Rosing,
2022,
IEEE Transactions on Computers.
Mohsen Imani,
Farinaz Koushanfar,
Tajana Simunic,
2020,
2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).
Tajana Simunic,
Yeseong Kim,
Minsu Kim,
2019,
20th International Symposium on Quality Electronic Design (ISQED).
Tajana Simunic,
Yeseong Kim,
Mohsen Imani,
2019,
2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).
Tajana Simunic,
Niema Moshiri,
Yeseong Kim,
2020,
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Yeseong Kim,
R. Fass,
Yoshitaka Kitayama,
2021,
Current Gastroenterology Reports.
Yeseong Kim,
M. Imani,
H. Alimohamadi,
2022,
Scientific Reports.
Mohsen Imani,
Farhad Imani,
Haleh Alimohamadi,
2021,
ArXiv.
T. Rosing,
Yeseong Kim,
M. Imani,
2022,
ACM J. Emerg. Technol. Comput. Syst..
Yeseong Kim,
Farhad Imani,
M. Imani,
2021,
SC21: International Conference for High Performance Computing, Networking, Storage and Analysis.
Tajana Simunic,
Yeseong Kim,
Ankit More,
2017,
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Tajana Simunic,
Yeseong Kim,
Mohsen Imani,
2015,
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Tajana Simunic,
Mohsen Imani,
Baris Aksanli,
2021,
2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).
Yeseong Kim,
M. Imani,
Yang Ni,
2023,
ACM Great Lakes Symposium on VLSI.
Tajana Simunic,
Yeseong Kim,
Mohsen Imani,
2018,
IOT.
Tajana Simunic,
Yeseong Kim,
Mohsen Imani,
2019,
2019 IEEE 12th International Conference on Cloud Computing (CLOUD).
Tajana Simunic,
Mohsen Imani,
Minxuan Zhou,
2021,
2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).
T. Simunic,
Yeseong Kim,
M. Imani,
2022,
Design, Automation and Test in Europe.
Tajana Simunic,
Mohsen Imani,
Samuel Bosch,
2021,
2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).
Yeseong Kim,
Jiseung Kim,
M. Imani,
2023,
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Tajana Simunic,
Yeseong Kim,
Ankit More,
2019,
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
O. Mutlu,
Yeseong Kim,
Jisung Park,
2022,
FAST.
Tajana Simunic,
Yeseong Kim,
Mohsen Imani,
2019,
IEEE Micro.
Yeseong Kim,
Fahmi Shibli,
R. Fass,
2023,
Cureus.
Mohsen Imani,
Tajana Simunic,
Yeseong Kim,
2020,
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Tajana Simunic,
Yeseong Kim,
Mohsen Imani,
2019,
ACM Great Lakes Symposium on VLSI.
Tajana Simunic,
Yeseong Kim,
Mohsen Imani,
2019,
ASP-DAC.
Tajana Simunic,
Yeseong Kim,
Mohsen Imani,
2019,
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Yeseong Kim,
M. Imani,
Tajana Rosing,
2019,
Proceedings of the 46th International Symposium on Computer Architecture.
Farinaz Koushanfar,
Tajana Simunic,
Yeseong Kim,
2018,
ArXiv.
Tajana Simunic,
Yeseong Kim,
Mohsen Imani,
2016,
ISLPED.
Mohsen Imani,
Yeseong Kim,
Alejandro Hernández-Cano,
2021,
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Mohsen Imani,
M. Hassan Najafi,
Yeseong Kim,
2021,
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Chung-Kuan Cheng,
Bill Lin,
Sicun Gao,
2019,
ISPD.
Tajana Simunic,
Yeseong Kim,
Mohsen Imani,
2017,
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
Yeseong Kim,
R. Fass,
S. Ganocy,
2023,
Journal of clinical gastroenterology.
Yeseong Kim,
R. Fass,
Fahmi Shibli,
2023,
Journal of neurogastroenterology and motility.
Tajana Simunic,
Yeseong Kim,
Sahand Salamat,
2020,
ISLPED.
Tajana Simunic,
Yeseong Kim,
Baris Aksanli,
2019,
2019 IEEE 16th International Conference on Networking, Sensing and Control (ICNSC).
Yeseong Kim,
Behnam Khaleghi,
Jaeyoung Kang,
2022,
2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC).