Xuehai Qian

发表

Chao Wang, Yu Bai, Qinru Qiu, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Yiran Chen, Xuehai Qian, Hai Li, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Yiran Chen, H. Li, Xuehai Qian, 2022 .

Xuehai Qian, Yiyu Shi, Yongshan Ding, 2022, ArXiv.

Xuehai Qian, Yiyu Shi, Yongshan Ding, 2022, ArXiv.

Xuehai Qian, Yiyu Shi, Yongshan Ding, 2022, 2022 IEEE International Conference on Quantum Computing and Engineering (QCE).

Yanzhi Wang, X. Lin, Deliang Fan, 2019, IEEE Transactions on Neural Networks and Learning Systems.

Xuehai Qian, Qingcheng Xiao, Size Zheng, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Wenguang Chen, Yong Jiang, Xiongchao Tang, 2021, IEEE Transactions on Computers.

Xuehai Qian, You Wu, 2020, 2006.16535.

Xuehai Qian, Yiyu Shi, Yongshan Ding, 2022, ArXiv.

Yanzhi Wang, Xuehai Qian, Avinash Karanth, 2020, IEEE Trans. Computers.

Xuehai Qian, Yiyu Shi, Ji Liu, 2022, 2023 60th ACM/IEEE Design Automation Conference (DAC).

Yiran Chen, Xuehai Qian, Hai Li, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Yanzhi Wang, Xuehai Qian, Kaisheng Ma, 2019, ArXiv.

Kang Chen, Xuehai Qian, Mingxing Zhang, 2018, ASPLOS.

Bin Sun, Jing Wang, Xuehai Qian, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Hai Jin, Xuanhua Shi, Xuehai Qian, 2020, ASPLOS.

Xuehai Qian, Jinglei Cheng, Haoqing Deng, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Xuehai Qian, Yiyu Shi, Yongshan Ding, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Xuehai Qian, Yiran Chen, Linghao Song, 2020, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Bo Yuan, Xuehai Qian, Siyu Liao, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Xuehai Qian, Hai Li, Yiran Chen, 2019, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Massoud Pedram, Yanzhi Wang, Xuehai Qian, 2018, ASPLOS.

Yiran Chen, You Wu, Xuehai Qian, 2019, CCF Transactions on High Performance Computing.

Xuehai Qian, Xiaolong Ma, Wei Niu, 2021, IEEE Transactions on Pattern Analysis and Machine Intelligence.

Yanzhi Wang, Dimin Niu, Xuehai Qian, 2019, MICRO.

Christoforos E. Kozyrakis, Chao Wang, Kang Chen, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Yiran Chen, Xuehai Qian, Hai Li, 2017, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Chunhua Deng, Keshab K. Parhi, Yi Xie, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Xuehai Qian, Jingji Chen, Gengyu Rao, 2020, ArXiv.

Xuehai Qian, Jinkun Lin, Youwei Zhuo, 2019, ASPLOS.

Yiran Chen, Hai Li, Xuehai Qian, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Chunhua Deng, Zhongfeng Wang, Xuehai Qian, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Xuehai Qian, Chao Wang, Xuehai Qian, 2023, IEEE Transactions on Cloud Computing.

Weimin Zheng, Kang Chen, Xuehai Qian, 2017, ASPLOS.

Hang Liu, Xuehai Qian, Xiaolong Ma, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Yongwei Wu, Xuehai Qian, Kang Chen, 2020, Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems.

Koushik Sen, Xuehai Qian, Costin Iancu, 2016, ICS.

Depei Qian, Xuehai Qian, Benjamin Sahelices, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Josep Torrellas, Depei Qian, Xuehai Qian, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Depei Qian, He Huang, Xuehai Qian, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Josep Torrellas, Xuehai Qian, Benjamin Sahelices, 2012, IEEE International Symposium on High-Performance Comp Architecture.

Josep Torrellas, Xuehai Qian, Wonsun Ahn, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Wenyao Xu, Qinru Qiu, Chang Liu, 2018, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Ji Li, Qinru Qiu, Yanzhi Wang, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Weimin Zheng, Kang Chen, Xuehai Qian, 2019, IEEE Transactions on Parallel and Distributed Systems.

Yongwei Wu, Youwei Zhuo, Xuehai Qian, 2010, Flop Musicals of the Twenty-First Century.

Weimin Zheng, Kang Chen, Xuehai Qian, 2017, USENIX Annual Technical Conference.

Weimin Zheng, Xue Li, Kang Chen, 2016, OSDI.

Xuehai Qian, Xuehai Qian, 2021, Science China Information Sciences.

Qinru Qiu, Yanzhi Wang, X. Lin, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Junqing Yu, You Wu, Xuehai Qian, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Zhe Xu, K. K. Ramakrishnan, Wenfei Wu, 2019, 2019 IEEE 39th International Conference on Distributed Computing Systems (ICDCS).

Xuan Peng, Xuanhua Shi, Xuehai Qian, 2020, Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems.

Xue Li, Xuehai Qian, Mingxing Zhang, 2021, IEEE Transactions on Computers.

Albert Y. Zomaya, Yongwei Wu, Xuehai Qian, 2023, International Conference on Architectural Support for Programming Languages and Operating Systems.

Xuehai Qian, Alois Knoll, Kai Huang, 2020, IEEE Transactions on Parallel and Distributed Systems.

Xuehai Qian, Youwei Zhuo, Qinyi Luo, 2019, ArXiv.

Alois Knoll, Kai Huang, Xuehai Qian, 2019, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Xiaoming Chen, Xuehai Qian, Yinhe Han, 2019, IEEE Computer Architecture Letters.

Xuehai Qian, Murali Annavaram, Abdulaziz Tabbakh, 2017, 2017 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Xiaoming Chen, Xuehai Qian, Yinhe Han, 2020, ACM Great Lakes Symposium on VLSI.

Yanzhi Wang, Xuehai Qian, Hayden Kwok-Hay So, 2020, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Wenguang Chen, Xiongchao Tang, Jidong Zhai, 2019, ASPLOS.

Yanzhi Wang, Dimin Niu, Youwei Zhuo, 2019, Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture.

Weimin Zheng, Kang Chen, Xuehai Qian, 2018, ACM Trans. Storage.

Xuehai Qian, Jingji Chen, Zhuoming Chen, 2023, 2308.10087.

Tongyang Li, Xuehai Qian, Yiyu Shi, 2023, 2305.12597.