Tien-Fu Chen
发表
Jean-Loup Baer,
Tien-Fu Chen,
J. Baer,
1991,
Proceedings of the 1991 ACM/IEEE Conference on Supercomputing (Supercomputing '91).
J. Baer,
Tien-Fu Chen,
1994,
Proceedings of 21 International Symposium on Computer Architecture.
Tien-Fu Chen,
Chia-Chang Lin,
2000
.
Tien-Fu Chen,
Chung-Hung Lai,
Tien-Fu Chen,
2001,
ACM/IEEE SC 2001 Conference (SC'01).
Jean-Loup Baer,
Tien-Fu Chen,
J. Baer,
1994,
Applications in Parallel and Distributed Computing.
Tien-Fu Chen,
Chung-Ping Chung,
Yung-Cheng Ma,
2004,
J. Parallel Distributed Comput..
Meng-Fan Chang,
Tien-Fu Chen,
Ya-Chin King,
2016,
2016 IEEE International Solid-State Circuits Conference (ISSCC).
Meng-Fan Chang,
Tien-Fu Chen,
Heng-Yuan Lee,
2015,
2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.
Meng-Fan Chang,
Tien-Fu Chen,
Frederick T. Chen,
2014,
2014 Symposium on VLSI Circuits Digest of Technical Papers.
Tien-Fu Chen,
Chung-Ping Chung,
Yung-Cheng Ma,
2011,
J. Syst. Softw..
Jean-Loup Baer,
Tien-Fu Chen,
J. Baer,
1995,
IEEE Trans. Computers.
Tien-Fu Chen,
Wann-Yun Shieh,
Jean Jyh-Jiun Shann,
2003,
Inf. Process. Manag..
Tien-Fu Chen,
Chung-Ping Chung,
Neng-Pin Lu,
2000
.
Chung Lam,
Meng-Fan Chang,
Tien-Fu Chen,
2016,
2016 IEEE International Solid-State Circuits Conference (ISSCC).
Tien-Fu Chen,
Wei-Chung Cheng,
Jinn-Shyan Wang,
2016,
IEEE Transactions on Circuits and Systems II: Express Briefs.
Tien-Fu Chen,
Tien-Fu Chen,
1997,
Microprocess. Microsystems.
Tien-Fu Chen,
Tien-Fu Chen,
1993
.
Tien-Fu Chen,
Hao-Ran Liu,
Tien-Fu Chen,
2004,
IEE Proc. Softw..
Tien-Fu Chen,
1995,
Proceedings of the 28th Annual International Symposium on Microarchitecture.
Jean-Loup Baer,
Tien-Fu Chen,
J. Baer,
1992,
ASPLOS V.
Meng-Fan Chang,
Tien-Fu Chen,
Shyh-Shyuan Sheu,
2017,
IEEE Journal of Solid-State Circuits.
Meng-Fan Chang,
Tien-Fu Chen,
Li-Yue Huang,
2016,
IEEE Journal of Solid-State Circuits.
Tien-Fu Chen,
Chung-Ping Chung,
Yung-Cheng Ma,
2002,
J. Syst. Softw..
Tien-Fu Chen,
Tien-Fu Chen,
1996,
Proceedings of the 29th Annual Simulation Symposium.
Wen-Ben Jone,
Tien-Fu Chen,
Shih-Chieh Chang,
2016,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Meng-Fan Chang,
Tien-Fu Chen,
Keng-Hao Yang,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Jih-Sheng Shen,
Kuei-Chung Chang,
Tien-Fu Chen,
2006,
ESA.
Tien-Fu Chen,
Tien-Fu Chen,
1998,
Proceedings 1998 Fourth International Symposium on High-Performance Computer Architecture.
Jiun-In Guo,
Tien-Fu Chen,
Jui-Chin Chu,
2009,
IEEE Transactions on Circuits and Systems for Video Technology.
Tien-Fu Chen,
Chien-Chih Chen,
Shu-Hsuan Chou,
2012,
IEEE Transactions on Computers.
Tien-Fu Chen,
Shu-Hsuan Chou,
Chi-Neng Wen,
2009,
2009 10th International Symposium on Pervasive Systems, Algorithms, and Networks.
Wen-Ben Jone,
Tien-Fu Chen,
Shih-Chieh Chang,
2015,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.