A. Jantsch

发表

Axel Jantsch, Zhonghai Lu, Lei Xia, 2008, 2008 11th IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems.

Axel Jantsch, Andri Riid, Kalle Tammemäe, 2015, Computer.

Naveed Ahmed, A. Jantsch, C. Damsgaard, 2009 .

Axel Jantsch, A. Jantsch, 2002 .

Zhonghai Lu, A. Jantsch, 2004, Proceedings Norchip Conference, 2004..

A. Jantsch, J. Oberg, A. Hemani, 1994, Proceedings of IEEE Workshop on FPGA's for Custom Computing Machines.

H. Tenhunen, A. Hemani, P. Ellervee, 1994, EURO-DAC.

Axel Jantsch, Jun Zhu, Ingo Sander, 2008, 2008 IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia.

Axel Jantsch, Sandeep K. Shukla, Hiren D. Patel, 2005 .

Axel Jantsch, Hengzhu Liu, Zhonghai Lu, 2011 .

Axel Jantsch, Kalle Tammemäe, Ahmed Hemani, 1996 .

A. Jantsch, Nahla El-Araby, David Frismuth, 2022, 2022 IFIP/IEEE 30th International Conference on Very Large Scale Integration (VLSI-SoC).

Axel Jantsch, Roshan Weerasekera, Matt Grange, 2011 .

A. Jantsch, Martin Lechner, Lukas Steindl, 2022, SAMOS.

Axel Jantsch, Ahmed Hemani, Shashi Kumar, 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

Axel Jantsch, Zhonghai Lu, Zhonghai Lu, 2003 .

Axel Jantsch, A. Jantsch, 2003, Euromicro Symposium on Digital System Design, 2003. Proceedings..

Axel Jantsch, Hannu Tenhunen, H. Tenhunen, 2003 .

Axel Jantsch, Martti Forsell, Johnny Öberg, 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.

Axel Jantsch, Peeter Ellervee, Ahmed Hemani, 1994 .

Axel Jantsch, Hannu Tenhunen, Johnny Öberg, 1994, EURO-DAC '94.

Axel Jantsch, Seyed-Hosein Attarzadeh-Niaki, Ingo Sander, 2017, Handbook of Hardware/Software Codesign.

Axel Jantsch, Ingo Sander, A. Jantsch, 2005 .

Axel Jantsch, Ingo Sander, A. Jantsch, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Axel Jantsch, Ingo Sander, Tarvo Raudvere, 2003, First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).

Axel Jantsch, Zhonghai Lu, Ming Liu, 2012, Int. J. Embed. Real Time Commun. Syst..

Axel Jantsch, Zhonghai Lu, Ming Liu, 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.

Axel Jantsch, Jun Zhu, Ingo Sander, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Axel Jantsch, Zhonghai Lu, Ming Liu, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Axel Jantsch, Wolfgang Nebel, Jun Zhu, 2009, 2009 IEEE International Symposium on Parallel & Distributed Processing.

Axel Jantsch, Jun Zhu, Ingo Sander, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Axel Jantsch, Jun Zhu, Ingo Sander, 2008, EMSOFT '08.

Axel Jantsch, Ingo Sander, A. Jantsch, 2008, VerAS@TPHOLs.

Axel Jantsch, Sai Manoj Pudukotai Dinakarrao, A. Jantsch, 2018, ACM Great Lakes Symposium on VLSI.

Axel Jantsch, Kalle Tammemäe, A. Jantsch, 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Axel Jantsch, Johnny Öberg, Mikael Millberg, 2000 .

Axel Jantsch, Alexander Wendt, Albert Treytl, 2017, IECON 2017 - 43rd Annual Conference of the IEEE Industrial Electronics Society.

Axel Jantsch, Li-Rong Zheng, Zhonghai Lu, 2007 .

Axel Jantsch, Muhammad Shafique, Semeen Rehman, 2020, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Axel Jantsch, Zhonghai Lu, Dian Zhou, 2012, Int. J. Distributed Sens. Networks.

Axel Jantsch, Zhonghai Lu, Dian Zhou, 2011, 2011 IEEE Wireless Communications and Networking Conference.

Axel Jantsch, Martin Lechner, Sai Manoj Pudukotai Dinakarrao, 2019, 2019 Tenth International Green and Sustainable Computing Conference (IGSC).

Axel Jantsch, Konstantinos Tatas, Dimitrios Soudris, 2014 .

Axel Jantsch, Hannu Tenhunen, H. Tenhunen, 2003, Networks on Chip.

Axel Jantsch, Nima Taherinejad, Hedyeh A. Kholerdi, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Axel Jantsch, Nikil Dutt, Henry Hoffmann, 2020, Proceedings of the IEEE.

Axel Jantsch, Pasi Liljeberg, Amir M. Rahmani, 2020, IEEE Access.

Axel Jantsch, Pasi Liljeberg, Amir M. Rahmani, 2019, Mobile Networks and Applications.

Axel Jantsch, Nikil Dutt, Amir M. Rahmani, 2017, IEEE Design & Test.

Axel Jantsch, Nikil D. Dutt, Pasi Liljeberg, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Axel Jantsch, Bryan Donyanavard, Nikil Dutt, 2020, ArXiv.

Axel Jantsch, Christian Krieg, Clifford Wolf, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Axel Jantsch, Nikil D. Dutt, Pasi Liljeberg, 2019, Found. Trends Electron. Des. Autom..

Axel Jantsch, Dávid Juhász, A. Jantsch, 2019, COINS.

Axel Jantsch, Zhonghai Lu, Abbas Eslami Kiasari, 2013, CSUR.

Axel Jantsch, Johnny Öberg, Abhijit K. Deb, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Axel Jantsch, Zhonghai Lu, Abbas Eslami Kiasari, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

L. Marcenaro, C. Regazzoni, N. Dutt, 2023, 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Axel Jantsch, Nikil D. Dutt, Pasi Liljeberg, 2018, 2018 21st Euromicro Conference on Digital System Design (DSD).

Axel Jantsch, Nikil D. Dutt, Santanu Sarma, 2016, ACM Trans. Embed. Comput. Syst..

Axel Jantsch, Nikil D. Dutt, Santanu Sarma, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Axel Jantsch, Sai Manoj Pudukotai Dinakarrao, Nima Taherinejad, 2015, 2015 IEEE European Modelling Symposium (EMS).

Axel Jantsch, Zhonghai Lu, Xiaowen Chen, 2012 .

Axel Jantsch, A. Jantsch, 2003, The Morgan Kaufmann series in systems on silicon.

Axel Jantsch, Sandeep K. Shukla, Hiren D. Patel, 2008, Des. Autom. Embed. Syst..

Axel Jantsch, Zhonghai Lu, Ingo Sander, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Axel Jantsch, Ingo Sander, A. Jantsch, 2006 .

Shuming Chen, Hai Liu, Axel Jantsch, 2011, IEICE Electron. Express.

Axel Jantsch, Alexander Wendt, Matthias Wess, 2021, IEEE Access.

T. Sauter, A. Jantsch, A. Estaji, 2020, 2020 IEEE 18th International Conference on Industrial Informatics (INDIN).

Sai Manoj Pudukotai Dinakarrao, A. Jantsch, 2018, Proceedings of the 2018 on Great Lakes Symposium on VLSI.

Axel Jantsch, Nikil D. Dutt, Pasi Liljeberg, 2019, ACM Great Lakes Symposium on VLSI.

Axel Jantsch, Alexander Wendt, David Bechtold, 2020, ICAART.

Axel Jantsch, Sai Manoj Pudukotai Dinakarrao, Matthias Wess, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Axel Jantsch, Konstantinos Tatas, Kostas Siozios, 2014 .

Axel Jantsch, Muhammad Shafique, Sai Manoj Pudukotai Dinakarrao, 2019, ACM Comput. Surv..

A. Jantsch, A. Herkersdorf, N. Taherinejad, 2022, IEEE Design & Test.

A. Jantsch, S. Tahar, Nahla El-Araby, 2021, 2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Axel Jantsch, A. Jantsch, 2006, Sixth International Conference on Application of Concurrency to System Design (ACSD'06).

Axel Jantsch, Zhonghai Lu, Ming Liu, 2009, 2009 International Conference on Field Programmable Logic and Applications.

Jörg Henkel, Santiago Pagani, Axel Jantsch, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Axel Jantsch, Hannu Tenhunen, Zhonghai Lu, 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

Markus D. Kobelrausch, A. Jantsch, 2021, 2021 7th International Conference on Control, Automation and Robotics (ICCAR).

Axel Jantsch, Irida Shallari, Mattias O’Nils, 2021, IEEE Access.

Axel Jantsch, Tiberiu Seceleanu, A. Jantsch, 2008, Fundam. Informaticae.

Axel Jantsch, Sandeep K. Shukla, Hiren D. Patel, 2007, TODE.

Axel Jantsch, Ingo Sander, Wenbiao Wu, 2001, Ninth International Symposium on Hardware/Software Codesign. CODES 2001 (IEEE Cat. No.01TH8571).

Axel Jantsch, Pasi Liljeberg, Amir M. Rahmani, 2018 .

Axel Jantsch, Sandeep K. Shukla, Eugenio Villar, 2008, EURASIP J. Embed. Syst..

Axel Jantsch, Zhonghai Lu, Dian Zhou, 2009, VTC Spring 2009 - IEEE 69th Vehicular Technology Conference.

Axel Jantsch, Konstantinos Tatas, Dimitrios Soudris, 2013 .

Axel Jantsch, Zhonghai Lu, Abbas Eslami Kiasari, 2010, NoCArc '10.

A. Hemani, A. Postula, A. Jantsch, 2000 .

H. Tenhunen, A. Jantsch, J. Oberg, 1995, Proceedings of Eighth International Application Specific Integrated Circuits Conference.

Axel Jantsch, Zhonghai Lu, Feng Han, 2014, Microprocess. Microsystems.

Axel Jantsch, Hannu Tenhunen, Pasi Liljeberg, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Axel Jantsch, Zhonghai Lu, Zhonghai Lu, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Axel Jantsch, Mikael Millberg, Rikard Thid, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Axel Jantsch, Martin Radetzki, Chaochao Feng, 2013, CSUR.

Axel Jantsch, Zhonghai Lu, Minxuan Zhang, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Fernando Gehm Moraes, Axel Jantsch, Marcelo Ruaro, 2019, ACM Trans. Embed. Comput. Syst..

Axel Jantsch, Zhonghai Lu, Zhonghai Lu, 2007, IET Comput. Digit. Tech..

Axel Jantsch, Zhonghai Lu, Ming Liu, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Axel Jantsch, Zhonghai Lu, Fahimeh Jafari, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Axel Jantsch, Zhonghai Lu, Fahimeh Jafari, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Axel Jantsch, Zhipeng Chen, A. Jantsch, 2010, NPC.

Axel Jantsch, Denise Ratasich, Lukas Krammer, 2020, 2020 IEEE International Conference on Industrial Technology (ICIT).

Axel Jantsch, Zhonghai Lu, Fahimeh Jafari, 2015, TODE.

Axel Jantsch, Zhonghai Lu, Yuxiang Fu, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Shuming Chen, Axel Jantsch, Zhonghai Lu, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Zhonghai Lu, Li Li, Minglun Gao, 2009, 2009 4th International Conference on Design & Technology of Integrated Systems in Nanoscal Era.

Axel Jantsch, Konstantinos Tatas, Dimitrios Soudris, 2014 .

Axel Jantsch, Bryan Donyanavard, Nikil Dutt, 2018, IEEE Transactions on Multi-Scale Computing Systems.

Axel Jantsch, Konstantinos Tatas, Dimitrios Soudris, 2014 .

Axel Jantsch, Ingo Sander, Tarvo Raudvere, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Axel Jantsch, Ingo Sander, Tarvo Raudvere, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Axel Jantsch, Hannu Tenhunen, Jari Nurmi, 2010 .

Axel Jantsch, Christoph Grimm, Carna Radojicic, 2017, SNR@ETAPS.

Axel Jantsch, Hannu Tenhunen, Johnny Öberg, 2004, Integr..

Axel Jantsch, Ahmed Hemani, Shashi Kumar, 2000, IEEE Des. Test Comput..

Axel Jantsch, Ingo Sander, A. Jantsch, 2000, Proceedings of the Eighth International Workshop on Hardware/Software Codesign. CODES 2000 (IEEE Cat. No.00TH8518).

Axel Jantsch, Konstantinos Tatas, Dimitrios Soudris, 2014 .

Axel Jantsch, A. Jantsch, 2009, Embedded Systems Design and Verification.

Axel Jantsch, A. Jantsch, 2005, Embedded Systems Handbook.

Axel Jantsch, A. Jantsch, 2003 .

Axel Jantsch, Mikael Millberg, Shashi Kumar, 2004, 17th International Conference on VLSI Design. Proceedings..

Axel Jantsch, Mikael Millberg, Per Bjuréus, 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).

Axel Jantsch, Ahmed Hemani, Bengt Svantesson, 1999, Proceedings. IEEE Computer Society Workshop on VLSI '99. System Design: Towards System-on-a-Chip Paradigm.

Axel Jantsch, Ingo Sander, Peeter Ellervee, 1997 .

Axel Jantsch, Ingo Sander, A. Jantsch, 1999, Proceedings. IEEE Computer Society Workshop on VLSI '99. System Design: Towards System-on-a-Chip Paradigm.

Axel Jantsch, Ingo Sander, A. Jantsch, 1999, Proceedings Twelfth International Conference on VLSI Design. (Cat. No.PR00013).

Axel Jantsch, Zhonghai Lu, Minxuan Zhang, 2011, 2011 9th IEEE International Conference on ASIC.

Axel Jantsch, Pasi Liljeberg, Nikil Dutt, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Axel Jantsch, A. Jantsch, 2019, ASD@DATE.

Sai Manoj Pudukotai Dinakarrao, A. Jantsch, M. Shafique, 2019, ACM Comput. Surv..

Axel Jantsch, Qiang Wang, Hao Xu, 2011, Computing in Science & Engineering.

Axel Jantsch, Qiang Wang, Lu Li, 2008, 2008 International Conference on Field Programmable Logic and Applications.

Axel Jantsch, Pasi Liljeberg, Behailu Negash, 2018 .

A. Jantsch, Hannu Tenhunen, 2003, Springer US.