Xin Li

发表

Lawrence T. Pileggi, Xin Li, Jiayong Le, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Jean-Olivier Plouchart, Alberto Valdes-Garcia, Xin Li, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

L. Pileggi, Xin Li, Jiayong Le, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

L. Pileggi, B. Krogh, M. Althoff, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Wei Wang, Xin Li, D J Weber, 2011, IEEE Transactions on Neural Systems and Rehabilitation Engineering.

Lawrence T. Pileggi, Xin Li, Padmini Gopalakrishnan, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Xin Li, Pulkit Grover, Christy Li, 2016, 2016 8th International Conference on Communication Systems and Networks (COMSNETS).

Xin Li, Pulkit Grover, Christy Li, 2016, IEEE Journal on Selected Areas in Communications.

Rob A. Rutenbar, Andrzej J. Strojwas, Wangyang Zhang, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Rob A. Rutenbar, Wangyang Zhang, Xin Li, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Rob A. Rutenbar, Wangyang Zhang, Karthik Balakrishnan, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Xin Li, Xin Li, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Rob A. Rutenbar, Xin Li, R. D. Blanton, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Xin Li, Shupeng Sun, Xin Li, 2015, The 20th Asia and South Pacific Design Automation Conference.

Xin Li, Shupeng Sun, Xin Li, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Xin Li, Shupeng Sun, Hongzhou Liu, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Rob A. Rutenbar, Yu Cao, Kenneth L. Shepard, 2008, Proceedings of the IEEE.

Xin Li, Lawrence T. Pileggi, Jiayong Le, 2007, Found. Trends Electron. Des. Autom..

Xin Li, Xin Li, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chenjie Gu, Xin Li, Manzil Zaheer, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Zhiyi Yu, Xin Li, Gary Overett, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Lawrence T. Pileggi, Xin Li, Padmini Gopalakrishnan, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Walter Schneider, Xin Li, Jinyin Zhang, 2013, XSEDE.

B. Kumar, Xin Li, Yongjune Kim, 2012, EURASIP Journal on Advances in Signal Processing.

Xuan Zeng, Hengliang Zhu, Xin Li, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Xin Li, Fa Wang, Xin Li, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Xin Li, Shupeng Sun, Xin Li, 2015, 2015 IEEE Custom Integrated Circuits Conference (CICC).

Kenneth M. Butler, Xin Li, José Machado da Silva, 2014, 2014 International Test Conference.

Chenjie Gu, Xin Li, Shupeng Sun, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Jean-Olivier Plouchart, Alberto Valdes-Garcia, Bodhisatwa Sadhu, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Chenjie Gu, Wangyang Zhang, Xin Li, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Chenjie Gu, Xin Li, Eli Chiprout, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Chenjie Gu, Wangyang Zhang, Xin Li, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Xin Li, Changdao Dong, Xin Li, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Rob A. Rutenbar, Wangyang Zhang, Xin Li, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Rob A. Rutenbar, Andrzej J. Strojwas, Wangyang Zhang, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Chen-Yong Cher, Haifeng Qian, Xin Li, 2012, DAC Design Automation Conference 2012.

Kenneth M. Butler, Kwang-Ting Cheng, Wangyang Zhang, 2013, 2013 IEEE International Test Conference (ITC).

Wangyang Zhang, Xin Li, Fa Wang, 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.

Jean-Olivier Plouchart, Alberto Valdes-Garcia, Lawrence T. Pileggi, 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

Xin Li, Mohamed Baker Alawieh, Fa Wang, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Xin Li, R. D. Blanton, Yang Xue, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Xin Li, R. D. Blanton, Yang Xue, 2013, 2013 IEEE International Test Conference (ITC).

Xin Li, Fa Wang, Mohamed Baker Alawieh, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Pingqiang Zhou, Haifeng Qian, Xin Li, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yuanning Li, Xin Li, Hassan Albalawi, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Aydin Babakhani, Jean-Olivier Plouchart, Alberto Valdes-Garcia, 2013, IEEE Journal of Solid-State Circuits.

Hong Zhang, Xin Li, Tsung-Hao Chen, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Rajiv V. Joshi, Rouwaida Kanj, Xin Li, 2016, 2016 17th International Symposium on Quality Electronic Design (ISQED).

Xiaoming Chen, Sheldon X.-D. Tan, Xin Li, 2016, 2016 IEEE Conference on Computer Communications Workshops (INFOCOM WKSHPS).

Xiaoming Chen, Sheldon X.-D. Tan, Xin Li, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Xin Li, Hongzhou Liu, Hongzhou Liu, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Brian Taylor, Lawrence T. Pileggi, Xin Li, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Matthias Althoff, Bruce H. Krogh, Lawrence T. Pileggi, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ming Li, Xin Li, Xin Li, 2014, 2014 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).