Xiuyi Zhou

发表

Jun Yang, Youtao Zhang, Yi Xu, 2008, 2008 37th International Conference on Parallel Processing.

Marek Chrobak, Jun Yang, Youtao Zhang, 2008, ISPASS 2008 - IEEE International Symposium on Performance Analysis of Systems and software.

Marek Chrobak, Jun Yang, Youtao Zhang, 2010, TACO.

Jun Yang, Youtao Zhang, Jianhua Zhao, 2010, IEEE Transactions on Parallel and Distributed Systems.