S. Miura

发表

H. Ohno, T. Endoh, S. Ikeda, 2013, IEEE Journal of Solid-State Circuits.

T. Endoh, T. Tanigawa, S. Ikeda, 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

H. Ohno, T. Endoh, T. Tanigawa, 2015, 2015 Symposium on VLSI Technology (VLSI Technology).

S. Fukami, Tetsuhiro Suzuki, N. Ishiwata, 2006, 2009 Symposium on VLSI Technology.

S. Fukami, Tetsuhiro Suzuki, N. Ishiwata, 2009 .

Tetsuo Endoh, Takahiro Hanyu, Hiroaki Honjo, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

Hiroaki Honjo, Kunihiko Ishihara, Keizo Kinoshita, 2014, IEEE Transactions on Magnetics.

Shoji Ikeda, Hiroaki Honjo, Keizo Kinoshita, 2013 .

S. Fukami, S. Ikeda, N. Kasai, 2012, 2012 Symposium on VLSI Technology (VLSIT).

Yuichi Ito, Hiroaki Honjo, Yosuke Kobayashi, 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

T. Tanigawa, S. Miura, M. Yasuhira, 2022, International Electron Devices Meeting.

Shoji Ikeda, Tetsuo Endoh, Takahiro Hanyu, 2012, 2012 Symposium on VLSI Circuits (VLSIC).

Daisuke Suzuki, Shoji Ikeda, Tetsuo Endoh, 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

Shoji Ikeda, Hiroki Koike, Tetsuo Endoh, 2016, 2016 IEEE 8th International Memory Workshop (IMW).

T. Endoh, S. Fukami, N. Kasai, 2012, 2012 Symposium on VLSI Technology (VLSIT).

Shoji Ikeda, Hiroki Koike, Tetsuo Endoh, 2015, 2015 IEEE International Memory Workshop (IMW).

H. Ohno, T. Endoh, T. Tanigawa, 2018, 2018 IEEE International Memory Workshop (IMW).

T. Endoh, T. Ohsawa, H. Koike, 2013, 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC).

T. Endoh, T. Tanigawa, S. Ikeda, 2020, 2020 IEEE 31st Magnetic Recording Conference (TMRC).

Tetsuo Endoh, Takahiro Hanyu, Hiroaki Honjo, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Daisuke Suzuki, Shoji Ikeda, Tetsuo Endoh, 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).