Yu Xing

发表

Yu Wang, Yu Xing, Shuang Liang, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yu Xing, Qingming Li, Q. Li, 2020, International Journal of Mechanical Sciences.

Yu Wang, Shulin Zeng, Hanbo Sun, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Wang, Yu Xing, Shuang Liang, 2019, Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays.

Jian Weng, Yu Wang, Lingzhi Sui, 2019, 2019 IEEE International Conference on Embedded Software and Systems (ICESS).