J. Xiong

发表

Junlin Xiong, Wei Wang, Min Xie, 2015, Int. J. Gen. Syst..

Junlin Xiong, Wei Ren, W. Ren, 2019, IEEE Transactions on Automatic Control.

Junlin Xiong, Wei Ren, W. Ren, 2016, Systems & control letters (Print).

J. Xiong, Hong-li Zhang, Hongyan Zhang, 2017, 2017 29th Chinese Control And Decision Conference (CCDC).

Ian R. Petersen, Junlin Xiong, Alexander Lanzon, 2009, 2009 7th Asian Control Conference.

Xiao‐Heng Chang, J. Xiong, Zhi-Min Li, 2017, 2017 Eighth International Conference on Intelligent Control and Information Processing (ICICIP).

Junlin Xiong, Mei Liu, J. Xiong, 2018, IEEE Transactions on Automatic Control.

Junlin Xiong, Mei Liu, J. Xiong, 2016, 2016 IEEE 55th Conference on Decision and Control (CDC).

Junlin Xiong, Mei Liu, J. Xiong, 2016, Syst. Control. Lett..

Ian R. Petersen, Junlin Xiong, Alexander Lanzon, 2010, IEEE Transactions on Automatic Control.

Ian R. Petersen, Junlin Xiong, Alexander Lanzon, 2010, IEEE Transactions on Automatic Control.

Junlin Xiong, Lanlin Yu, J. Xiong, 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

Xiaodong Cheng, Junlin Xiong, Jacquelien M.A. Scherpen, 2019, 2019 IEEE 58th Conference on Decision and Control (CDC).

Junlin Xiong, Lanlin Yu, J. Xiong, 2019, J. Frankl. Inst..

Junlin Xiong, Renquan Lu, Yong Xu, 2020, IEEE Transactions on Systems, Man, and Cybernetics: Systems.

James Lam, Junlin Xiong, Huijun Gao, 2016, Autom..

Junlin Xiong, Zhaowu Yin, J. Xiong, 2018, 2018 15th International Conference on Control, Automation, Robotics and Vision (ICARCV).

Junlin Xiong, Wei Ren, W. Ren, 2017, IEEE Transactions on Automatic Control.

James Lam, Junlin Xiong, Xuerong Mao, 2013, IEEE Transactions on Automatic Control.

James Lam, Junlin Xiong, J. Lam, 2009, Int. J. Syst. Sci..

James Lam, Junlin Xiong, J. Lam, 2009, IEEE Transactions on Automatic Control.

Junlin Xiong, Lanlin Yu, J. Xiong, 2017, Int. J. Syst. Sci..

Ian R. Petersen, Junlin Xiong, Valery A. Ugrinovskii, 2009, 2009 American Control Conference.

Xiaojian Yi, Xiao-Heng Chang, Jun Xiong, 2018, Appl. Math. Comput..

James Lam, Junlin Xiong, Zhan Shu, 2009, IEEE Transactions on Automatic Control.

Xiao-Heng Chang, Jun Xiong, Qi Liu, 2019, IEEE Transactions on Fuzzy Systems.

Junlin Xiong, Wei Ren, W. Ren, 2018, IEEE Transactions on Automatic Control.

Junlin Xiong, Wei Ren, W. Ren, 2019, International Journal of Robust and Nonlinear Control.

Xiao-Heng Chang, Jun Xiong, Can Yang, 2019, IEEE Transactions on Systems, Man, and Cybernetics: Systems.

Ju H. Park, Xiao-Heng Chang, Jun Xiong, 2018, IEEE Transactions on Industrial Informatics.

Shan Ma, Junlin Xiong, J. Xiong, 2012, 2012 12th International Conference on Control Automation Robotics & Vision (ICARCV).

Guilin Zhuansun, Junlin Xiong, J. Xiong, 2012, Proceedings of the 31st Chinese Control Conference.

Ian R. Petersen, Junlin Xiong, Valery A. Ugrinovskii, 2009, IEEE Transactions on Automatic Control.

Ian R. Petersen, Junlin Xiong, Valery A. Ugrinovskii, 2008, 2008 47th IEEE Conference on Decision and Control.

Tao Yu, Junlin Xiong, Tao Yu, 2020, IEEE Transactions on Control of Network Systems.

D. Ho, J. Xiong, Yan Wang, 2021, IEEE Transactions on Cybernetics.

Yan Wang, Junlin Xiong, J. Xiong, 2020, IEEE Transactions on Cybernetics.

J. Xiong, Guangjian Qin, Yongjie Li, 2022, 2022 41st Chinese Control Conference (CCC).

Ju H. Park, Jun Xiong, Xiao-Heng Chang, 2020, International Journal of Robust and Nonlinear Control.

Junlin Xiong, Min Xie, Zhiying Wu, 2021, IEEE Transactions on Systems, Man, and Cybernetics: Systems.

Junlin Xiong, Wei Ren, W. Ren, 2021, IEEE Control Systems Letters.

Junlin Xiong, Wei Ren, Tao Yu, 2020, 2020 American Control Conference (ACC).

Junlin Xiong, Wei Ren, W. Ren, 2019, IEEE Transactions on Automatic Control.

Junlin Xiong, Wei Ren, W. Ren, 2019, IEEE Transactions on Automatic Control.

Junlin Xiong, Wei Ren, W. Ren, 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

Junlin Xiong, Wei Ren, W. Ren, 2017, 2017 11th Asian Control Conference (ASCC).

Junlin Xiong, Lanlin Yu, J. Xiong, 2018, 2018 15th International Conference on Control, Automation, Robotics and Vision (ICARCV).

Junlin Xiong, Shengyu Zhai, J. Xiong, 2018, Int. J. Syst. Sci..

Junlin Xiong, Lanlin Yu, J. Xiong, 2017, 2017 IEEE 56th Annual Conference on Decision and Control (CDC).

James Lam, Ian R. Petersen, Junlin Xiong, 2016, Autom..

Ian R. Petersen, Junlin Xiong, Alexander Lanzon, 2016, IEEE Transactions on Automatic Control.

Ian R. Petersen, Junlin Xiong, Alexander Lanzon, 2015, 2015 54th IEEE Conference on Decision and Control (CDC).

Junlin Xiong, Mei Liu, J. Xiong, 2015, Int. J. Control.

Ian R. Petersen, Junlin Xiong, Alexander Lanzon, 2009, 2009 American Control Conference.

J. Xiong, Lin Yu, Min Xie, 2023, J. Frankl. Inst..

J. Xiong, Yan Wang, Zhiying Wu, 2022, International Journal of Robust and Nonlinear Control.

Tingwen Huang, J. Xiong, Jun Shen, 2022, IEEE Transactions on Network Science and Engineering.

Junlin Xiong, Wei Wang, Zhiying Wu, 2018, Reliab. Eng. Syst. Saf..

J. Xiong, Wei Ren, 2022, IEEE Transactions on Systems, Man, and Cybernetics: Systems.

Zhan Shu, J. Xiong, James Lam, 2013, IEEE Transactions on Automatic Control.

W. Ren, J. Xiong, 2021, International Journal of Robust and Nonlinear Control.

Junlin Xiong, Wei Ren, W. Ren, 2019, IEEE Transactions on Automatic Control.

Junlin Xiong, Wei Ren, J. Xiong, 2018, 2018 IEEE Conference on Decision and Control (CDC).

W. Ren, J. Xiong, 2014, Proceeding of the 11th World Congress on Intelligent Control and Automation.

Junlin Xiong, Yan Wang, J. Xiong, 2017, 2017 32nd Youth Academic Annual Conference of Chinese Association of Automation (YAC).