Diana Marculescu

发表

Radu Marculescu, Massoud Pedram, Diana Marculescu, 1995, 32nd Design Automation Conference.

R. Marculescu, Massoud Pedram, Diana Marculescu, 1994, IEEE/ACM International Conference on Computer-Aided Design.

Diana Marculescu, Shih-Chieh Chang, Ming-Chao Lee, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

K. Parhi, Nicholas Roehner, C. Myers, 2011 .

P. Khosla, R. Marculescu, Diana Marculescu, 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

Radu Marculescu, Massoud Pedram, Diana Marculescu, 2000, IEEE Trans. Very Large Scale Integr. Syst..

R. Marculescu, Massoud Pedram, Diana Marculescu, 2014 .

Carol Frieze, Diana Marculescu, Jeria L. Quesenberry, 2018, 2018 Research on Equity and Sustained Participation in Engineering, Computing, and Technology (RESPECT).

R. Marculescu, Massoud Pedram, Diana Marculescu, 2007 .

R. Marculescu, Massoud Pedram, Diana Marculescu, 2007 .

P. Stanley-Marbell, Diana Marculescu, 2003 .

Diana Marculescu, Ruizhou Ding, Diana Marculescu, 2017, SIGSPATIAL/GIS.

Emil Talpes, Diana Marculescu, Diana Marculescu, 2006 .

Radu Marculescu, Josef A. Nossek, Zhen Cao, 2011 .

Diana Marculescu, A. Iyer, 2002, Proceedings 29th Annual International Symposium on Computer Architecture.

Diana Marculescu, V. Rapaka, 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..

Diana Marculescu, Jörk Henkel, Diana Marculescu, 2008, ISLPED 2008.

Pradip Bose, Diana Marculescu, David H. Albonesi, 2003, IEEE Micro.

Radu Marculescu, Partha Pratim Pande, Diana Marculescu, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Diana Marculescu, Cha Zhang, Ruizhou Ding, 2019, 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Diana Marculescu, Ruizhou Ding, Cha Zhang, 2019, ArXiv.

Radu Marculescu, Massoud Pedram, Diana Marculescu, 2000, TODE.

Zamora, Jones, R. Marculescu, 2003, Proceedings of the IEEE.

Christos Faloutsos, Lei Li, Diana Marculescu, 2014, PAKDD.

Siddharth Garg, Diana Marculescu, Jinpyo Park, 2013, 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Diana Marculescu, Natasa Miskov-Zivanov, James R. Faeder, 2011, BCB '11.

Diana Marculescu, Mehmet Meric Isgenc, Ahmet Inci, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Diana Marculescu, R. D. Blanton, Ruizhou Ding, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Diana Marculescu, Dimitrios Stamoulis, Ermao Cai, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Diana Marculescu, Haocheng Fang, Dimitrios Stamoulis, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Diana Marculescu, Ruizhou Ding, Zeye Liu, 2019, 2019 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Diana Marculescu, R. D. Blanton, Ruizhou Ding, 2018, ACM Trans. Reconfigurable Technol. Syst..

Radu Marculescu, Partha Pratim Pande, Diana Marculescu, 2017, IEEE Transactions on Computers.

Diana Marculescu, Da-Cheng Juan, Dimitrios Stamoulis, 2017, ArXiv.

Radu Marculescu, Partha Pratim Pande, Diana Marculescu, 2018, IEEE Transactions on Computers.

Diana Marculescu, Jie Liu, Bodhi Priyantha, 2019, IEEE Journal of Selected Topics in Signal Processing.

Jie Liu, Diana Marculescu, Di Wang, 2019, ECML/PKDD.

Diana Marculescu, Ruizhou Ding, Ting-Wu Chin, 2019, MLSys.

Diana Marculescu, Phillip Stanley-Marbell, Diana Marculescu, 2007, HiPEAC.

Diana Marculescu, Ahmet Fatih Inci, Mehmet Meric Isgenc, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Mehmet Meric Isgenc, Diana Marculescu, A. Inci, 2020 .

Diana Marculescu, Pierce I-Jen Chuang, Vikas Chandra, 2020, ECCV Workshops.

Diana Marculescu, R. D. Blanton, Ruizhou Ding, 2017, ACM Great Lakes Symposium on VLSI.

Diana Marculescu, Da-Cheng Juan, Dimitrios Stamoulis, 2017, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Rajeev Gandhi, Priya Narasimhan, Howie Choset, 2005, TECS.

Lawrence T. Pileggi, Diana Marculescu, Sebastian Herbert, 2009, ISLPED.

Diana Marculescu, Sebastian Herbert, Diana Marculescu, 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

Diana Marculescu, Zhuo Chen, Zhuo Chen, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Siddharth Garg, Diana Marculescu, Yatish Turakhia, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Siddharth Garg, Diana Marculescu, Sebastian Herbert, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Radu Marculescu, Partha Pratim Pande, Diana Marculescu, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Radu Marculescu, Partha Pratim Pande, Diana Marculescu, 2014, 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).

Siddharth Garg, Diana Marculescu, Diana Marculescu, 2013, Found. Trends Electron. Des. Autom..

Radu Marculescu, Ümit Y. Ogras, Diana Marculescu, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Radu Marculescu, Ümit Y. Ogras, Diana Marculescu, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Diana Marculescu, Koushik Niyogi, K. Niyogi, 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

D. Marculescu, K. Niyogi, K. Niyogi, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Diana Marculescu, Diana Marculescu, 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

Diana Marculescu, V. Rapaka, Emil Talpes, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Diana Marculescu, Anoop Iyer, Diana Marculescu, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

R. Marculescu, Massoud Pedram, Diana Marculescu, 1996, 33rd Design Automation Conference Proceedings, 1996.

Radu Marculescu, Chi-Ying Tsui, Diana Marculescu, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Radu Marculescu, Massoud Pedram, Diana Marculescu, 1995, ISLPED '95.

Diana Marculescu, Sebastian Herbert, Diana Marculescu, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Emil Talpes, Diana Marculescu, Diana Marculescu, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Ari S. Morcos, Diana Marculescu, Ting-Wu Chin, 2020, ArXiv.

Radu Marculescu, Massoud Pedram, Diana Marculescu, 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

Christos Faloutsos, Diana Marculescu, Zhiliang Qian, 2017, 2017 IEEE International Conference on Data Science and Advanced Analytics (DSAA).

Christos Faloutsos, Diana Marculescu, Zhiliang Qian, 2016, ArXiv.

Diana Marculescu, Anoop Iyer, Diana Marculescu, 2002, IEEE Trans. Very Large Scale Integr. Syst..

Diana Marculescu, Diana Marculescu, 2000 .

Diana Marculescu, R. D. Blanton, Ruizhou Ding, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Radu Marculescu, Partha Pratim Pande, Diana Marculescu, 2016, 2016 International Conference on Compliers, Architectures, and Sythesis of Embedded Systems (CASES).

Radu Marculescu, Diana Marculescu, Phillip Stanley-Marbell, 2003, IEEE Trans. Computers.

Diana Marculescu, Natasa Miskov-Zivanov, James R. Faeder, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Diana Marculescu, Natasa Miskov-Zivanov, James R. Faeder, 2011, 2011 Annual International Conference of the IEEE Engineering in Medicine and Biology Society.

Radu Marculescu, Massoud Pedram, Diana Marculescu, 1996 .

R. Marculescu, Diana Marculescu, Massoud Petlram, 1996 .

Radu Marculescu, Ümit Y. Ogras, Diana Marculescu, 2020, KDD.

Radu Marculescu, Siddharth Garg, Ümit Y. Ogras, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Diana Marculescu, Zhuo Chen, Ruizhou Ding, 2018, 2018 IEEE International Conference on Data Mining Workshops (ICDMW).

Radu Marculescu, Massoud Pedram, Diana Marculescu, 2007 .

Diana Marculescu, Kai-Chiang Wu, Diana Marculescu, 2008, 2008 Asia and South Pacific Design Automation Conference.

Diana Marculescu, Guangshuo Liu, Jinpyo Park, 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

Diana Marculescu, Ifigeneia Apostolopoulou, Diana Marculescu, 2018, IEEE Transactions on Neural Networks and Learning Systems.

Jie Liu, Diana Marculescu, Di Wang, 2019, ArXiv.

Diana Marculescu, Zhuo Chen, Zhuo Chen, 2017, ArXiv.

Diana Marculescu, Da-Cheng Juan, Da-Cheng Juan, 2012, ISLPED '12.

Radu Marculescu, Partha Pratim Pande, Diana Marculescu, 2017, 2017 Eleventh IEEE/ACM International Symposium on Networks-on-Chip (NOCS).

Radu Marculescu, Chi-Ying Tsui, Diana Marculescu, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Diana Marculescu, Natasa Miskov-Zivanov, Diana Marculescu, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Diana Marculescu, Kai-Chiang Wu, Diana Marculescu, 2011, 2011 Design, Automation & Test in Europe.

Emil Talpes, Diana Marculescu, Diana Marculescu, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

Emil Talpes, Diana Marculescu, Diana Marculescu, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Muhammad Shafique, Jörg Henkel, Siddharth Garg, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Yuedong Yang, R. Marculescu, Diana Marculescu, 2023, NeurIPS.

Rajeev Barua, Diana Marculescu, Natasha Reeves, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Yao-Wen Chang, Diana Marculescu, Da-Cheng Juan, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Diana Marculescu, Phillip Stanley-Marbell, 2003 .

Stanley-Marbell, Khosla Park, Jayaraman Jung, 2003, Proc. IEEE.

Diana Marculescu, Natasa Miskov-Zivanov, Diana Marculescu, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Diana Marculescu, Ruizhou Ding, Cha Zhang, 2019, 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

R. I. Bahar, George Z. N. Cai, P. Kudva, 2005 .

Diana Marculescu, Diana Marculescu, 2005, Design, Automation and Test in Europe.

Radu Marculescu, Massoud Pedram, Diana Marculescu, 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Diana Marculescu, Phillip Stanley-Marbell, Diana Marculescu, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

R. Marculescu, D. Marculescu, R. Marculescu, 1999, Conference Record of the Thirty-Third Asilomar Conference on Signals, Systems, and Computers (Cat. No.CH37020).

Yao-Wen Chang, Diana Marculescu, Wan-Ping Lee, 2009, ISPD '09.