Kaushik Roy

发表

Kaushik Roy, Tan-Li Chou, 1996, IEEE Trans. Very Large Scale Integr. Syst..

Kaushik Roy, Georgios Karakonstantis, Debabrata Mohapatra, 2012, J. Signal Process. Syst..

Robert Andrawis, Kaushik Roy, Akhilesh Jaiswal, 2020, IEEE Transactions on Circuits and Systems II: Express Briefs.

Kaushik Roy, Chi Nhan Duong, Thanh-Dat Truong, 2020, 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Kaushik Roy, Balakrishna Gokaraju, Niraj Thapa, 2020, Future Internet.

Kaushik Roy, Brian O'Connor, Joseph Shelton, 2014 .

Kaushik Roy, Jason M. Allred, Steven J. Spencer, 2020, ArXiv.

Kaushik Roy, Nibaran Das, Sk Md Obaidullah, 2019 .

Kaushik Roy, Deepak Ravikumar, Sangamesh Kodge, 2020, ArXiv.

Kaushik Roy, Albert Esterline, Pramita Sree Muhuri, 2020, Inf..

Kaushik Roy, Priyadarshini Panda, Sai Aparna Aketi, 2020, ArXiv.

Kaushik Roy, Sajad Khorsandroo, Steve Chesney, 2020, IntelliSys.

Kaushik Roy, Indranil Chakraborty, Aayush Ankit, 2020, IEEE Micro.

Asish Mukhopadhyay, Kaushik Roy, Satish Panigrahi, 2014, ISBRA.

Kaushik Roy, Teresa Gonçalves, Nibaran Das, 2019 .

Swagath Venkataramani, Kaushik Roy, Amit Sabne, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Indranil Chakraborty, Anand Raghunathan, 2020, Proceedings of the IEEE.

Kaushik Roy, Prosenjit Chatterjee, Thomas Danner, 2020 .

Kaushik Roy, Priyadarshini Panda, Akhilesh Jaiswal, 2019, Nature.

Kaushik Roy, Gopalakrishnan Srinivasan, Priyadarshini Panda, 2019, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Kaushik Roy, Chankyu Lee, Sayeed Shafayet Chowdhury, 2020, Neurocomputing.

Kaushik Roy, Ankita Dhar, Himadri Mukherjee, 2020, Sādhanā.

Kaushik Roy, John Paul Strachan, Matthew Marinella, 2019, IEEE Transactions on Computers.

Kaushik Roy, Indranil Chakraborty, Deboleena Roy, 2019, 2019 IEEE International Conference on Cognitive Computing (ICCC).

Leonidas J. Guibas, Inseok Hwang, Kaushik Roy, 2003, Proceedings of IEEE Sensors 2003 (IEEE Cat. No.03CH37498).

Kaushik Roy, Deepak Ravikumar, Sangamesh Kodge, 2020, IEEE Transactions on Artificial Intelligence.

Kaushik Roy, Mudhakar Srivatsa, Franck Le, 2019, 2019 IEEE 16th International Conference on Mobile Ad Hoc and Sensor Systems (MASS).

Kristian Kersting, Kaushik Roy, Sriraam Natarajan, 2020, ArXiv.

Kaushik Roy, Amit Bhat, 2016, 2016 International Conference on Inventive Computation Technologies (ICICT).

Kaushik Roy, Indranil Chakraborty, Yinghan Long, 2020, ArXiv.

Kaushik Roy, Teresa Gonçalves, Santanu Phadikar, 2018, Communications in Computer and Information Science.

Kaushik Roy, Ankita Dhar, Himadri Mukherjee, 2020, Cognitive computation.

Kaushik Roy, Y. Ye, 1997, Proceedings of ASP-DAC '97: Asia and South Pacific Design Automation Conference.

Kaushik Roy, Albert Esterline, Janelle Mason, 2020, Array.

Kaushik Roy, Craig M. Vineyard, Aayush Ankit, 2020, 2020 IEEE Workshop on Signal Processing Systems (SiPS).

Kaushik Roy, Ankita Dhar, Niladri Sekhar Dash, 2019 .

Kaushik Roy, Amogh Agrawal, Sangamesh Kodge, 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

Kaushik Roy, Sarah Aljbali, K. Roy, 2020, IntelliSys.

Kaushik Roy, Mustafa Fayez Ali, Aayush Ankit, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Kaushik Roy, Gopalakrishnan Srinivasan, Priyadarshini Panda, 2019, 2019 IEEE International Conference on Smart Computing (SMARTCOMP).

Kaushik Roy, Timur Ibrayev, Aayush Ankit, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Ankita Dhar, Himadri Mukherjee, 2019 .

Kaushik Roy, K. C. Santosh, Himadri Mukherjee, 2020 .

Kaushik Roy, Priyadarshini Panda, Chankyu Lee, 2020, ICASSP 2020 - 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Kaushik Roy, Niraj Thapa, Robert H. Newman, 2020, Computational and structural biotechnology journal.

Kaushik Roy, Santanu Phadikar, Ankita Dhar, 2019 .

Kaushik Roy, Parami Wijesinghe, Chamika Liyanagedera, 2020, Frontiers in Neuroscience.

Kaushik Roy, Brian O'Connor, Joseph Shelton, 2014 .

Kaushik Roy, Prosenjit Chatterjee, Emmanuel Borkor Nuakoh, 2020 .

Kaushik Roy, Akhilesh Jaiswal, Mustafa F. Ali, 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

Kossi D. Edoh, Kaushik Roy, Adam Deeb, 2020 .

Catherine D. Schuman, Steven R. Young, Derek C. Rose, 2020, 2020 International Joint Conference on Neural Networks (IJCNN).

Kaushik Roy, Minsuk Koo, Gopalakrishnan Srinivasan, 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

Kaushik Roy, Ankita Dhar, Niladri Sekhar Dash, 2019 .

Kaushik Roy, Mustafa Fayez Ali, Saima Sharmin, 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

Kaushik Roy, Gopalakrishnan Srinivasan, Bing Han, 2020, 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Kaushik Roy, Chamika M. Liyanagedera, Minsuk Koo, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Priyadarshini Panda, Gopalakrishnan Srinivasan, 2020, 2020 International Joint Conference on Neural Networks (IJCNN).

Wolfgang Porod, Kaushik Roy, Minsuk Koo, 2020, Physical Review Applied.

Catherine D. Schuman, Kaushik Roy, Thomas E. Potok, 2020, Frontiers in Neuroscience.

Kaushik Roy, Priyadarshini Panda, Amogh Agrawal, 2021, IEEE Transactions on Magnetics.

Kaushik Roy, Nibaran Das, Jaya Paul, 2021 .

Kaushik Roy, Ankita Dhar, Niladri Sekhar Dash, 2019 .

Kaushik Roy, Santanu Phadikar, Ankita Dhar, 2020 .