P. Schuddinck

发表

Francky Catthoor, H.-S. Philip Wong, Iuliana Radu, 2018, IEEE Transactions on Nanotechnology.

D. Yakimets, D. Jang, A. Spessot, 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

G. Bouche, J. Ryckaert, D. Mocuta, 2018, 2018 IEEE Symposium on VLSI Technology.

F. M. Bufler, N. Collaert, D. Yakimets, 2019, IEEE Transactions on Electron Devices.

D. Yakimets, M. Garcia Bardon, D. Jang, 2017, IEEE Electron Device Letters.

J. Ryckaert, D. Verkest, P. Weckx, 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

Diederik Verkest, Anabela Veloso, Praveen Raghavan, 2015, IEEE Transactions on Electron Devices.

T. Schram, I. Radu, D. Jang, 2020, 2020 IEEE International Electron Devices Meeting (IEDM).

J. Ryckaert, D. Verkest, P. Weckx, 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

D. Yakimets, P. Matagne, D. Jang, 2019, 2019 Symposium on VLSI Technology.

Diederik Verkest, Praveen Raghavan, Alessio Spessot, 2017, IEEE Transactions on Electron Devices.

A. Mercha, D. Verkest, M. Badaroglu, 2012, International Electron Devices Meeting.

Diederik Verkest, Aaron Thean, Praveen Raghavan, 2015, 2015 International Conference on IC Design & Technology (ICICDT).

J. Ryckaert, D. Verkest, M. Badaroglu, 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

Diederik Verkest, Naoto Horiguchi, Aaron Thean, 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

H. Wong, J. Ryckaert, G. Hellings, 2021, 2021 IEEE International Electron Devices Meeting (IEDM).

J. Ryckaert, P. Weckx, G. Mirabelli, 2022, 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Diederik Verkest, Naoto Horiguchi, Aaron Thean, 2015, 2015 IEEE Custom Integrated Circuits Conference (CICC).

G. Mirabelli, D. Jang, J. Ryckaert, 2021, 2021 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA).

B. T. Chan, J. Ryckaert, F. Catthoor, 2023, IEEE Transactions on Electron Devices.

D. Jang, J. Ryckaert, W. Dehaene, 2021, IEEE Transactions on Electron Devices.

J. Ryckaert, P. Weckx, Z. Tokei, 2022, 2022 IEEE International Interconnect Technology Conference (IITC).

F. M. Bufler, J. Ryckaert, A. Vandooren, 2022, 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits).

J. Ryckaert, T. Chiarella, N. Horiguchi, 2020, 2020 IEEE Symposium on VLSI Technology.

J. Ryckaert, D. Verkest, P. Weckx, 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

A. Jourdain, E. Beyne, A. Veloso, 2022, 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits).

J. Ryckaert, B. Cline, J. Kulkarni, 2022, IEEE Transactions on Electron Devices.

D. Jang, J. Ryckaert, W. Dehaene, 2021, IEEE Transactions on Electron Devices.

J. Ryckaert, B. Cline, J. Kulkarni, 2020, 2020 IEEE International Electron Devices Meeting (IEDM).

B. T. Chan, F. Catthoor, P. Weckx, 2023, Advanced Lithography.

G. Mirabelli, J. Ryckaert, P. Weckx, 2023, Advanced Lithography.

V. V. Gonzalez, H. Mertens, L. Ragnarsson, 2023, Advanced Lithography.

Wim Dehaene, Praveen Raghavan, Pieter Weckx, 2017, 2017 47th European Solid-State Device Research Conference (ESSDERC).

Wim Dehaene, Praveen Raghavan, Pieter Weckx, 2017, 2017 IEEE International Conference on IC Design and Technology (ICICDT).

W. Dehaene, B. Kaczer, P. Weckx, 2017, 2017 IEEE International Reliability Physics Symposium (IRPS).

B. Parvais, D. Verkest, Y. Sherazi, 2018, 2018 IEEE Symposium on VLSI Technology.

D. Jang, A. Spessot, J. Ryckaert, 2021, 2021 IEEE International Interconnect Technology Conference (IITC).

A. Mercha, G. Van der Plas, D. Verkest, 2013, 2013 Symposium on VLSI Circuits.

J. Ryckaert, K. Bhuwalka, G. Hellings, 2022, 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits).