Wei Huang

发表

Sudhakar Yalamanchili, Indrani Paul, Wei Huang, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Yan Wang, David W. Rosen, Wei Huang, 2014, J. Comput. Inf. Sci. Eng..

Kevin Skadron, Mircea R. Stan, Wei Huang, 2005, 2005 International Conference on Computer Design.

Wei Huang, Yixin Zhao, Qiang He, 2008, 2008 2nd International Symposium on Systems and Control in Aerospace and Astronautics.

Matthew Poremba, Indrani Paul, Wei Huang, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Indrani Paul, Wei Huang, Wayne P. Burleson, 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Kevin Skadron, Mircea R. Stan, Wei Huang, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kevin Skadron, Mircea R. Stan, Wei Huang, 2003, ISCA '03.

Kevin Skadron, Mircea R. Stan, Wei Huang, 2011, IEEE Micro.

Wei Huang, Chao Zhou, Yajuan Guo, 2018, 2018 IEEE International Conference of Safety Produce Informatization (IICSPI).

Wei Huang, Yixin Zhao, Qian Qi, 2008, 2008 3rd IEEE Conference on Industrial Electronics and Applications.

Bin Li, Zhengrong Liang, Wei Huang, 2000, Medical Imaging.

Kevin Skadron, Wei Huang, Kyeong-Jae Lee, 2005, 2005 International Conference on Computer Design.

Wei Huang, Zhijian Lu, K. Skadron, 2004, 5th International Conference on Thermal and Mechanical Simulation and Experiments in Microelectronics and Microsystems, 2004. EuroSimE 2004. Proceedings of the.

Wei Huang, Yixin Zhao, Qiang He, 2008, 2008 2nd International Symposium on Systems and Control in Aerospace and Astronautics.

Indrani Paul, Wei Huang, Vijay Janapa Reddi, 2017, IEEE Micro.

Yan Wang, Wei Huang, David Rosen, 2017, Journal of Computing and Information Science in Engineering.

Lingjia Tang, Wei Huang, Manish Arora, 2019, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Indrani Paul, Wei Huang, Chip Freitag, 2015, 2015 IEEE International Symposium on Workload Characterization.

Bo Qu, Wei Huang, Qingzhi Zhai, 2018, Journal of Physics: Conference Series.

Wei Huang, Liang Hou, Rong Shen Lai, 2012 .

Feng Liu, Wei Huang, Yixin Zhao, 2008, 2008 2nd International Symposium on Systems and Control in Aerospace and Astronautics.

Ahmed A. Kishk, Wei Huang, Allen W. Glisson, 1992, Proceedings IEEE Southeastcon '92.

Indrani Paul, Wei Huang, David H. Albonesi, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Kevin Skadron, Mircea R. Stan, Wei Huang, 2004, TACO.

Masao Nakagawa, Wei Huang, 1994, 1994 IEEE GLOBECOM. Communications: The Global Bridge.

Kevin Skadron, Mircea R. Stan, Wei Huang, 2003, Microelectron. J..

Kevin Skadron, Mircea R. Stan, Wei Huang, 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.

Indrani Paul, Wei Huang, Leonardo Piga, 2016, 2016 45th International Conference on Parallel Processing (ICPP).

Kevin Skadron, Mircea R. Stan, Wei Huang, 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

Zhengrong Liang, Wei Huang, Bin Li, 1999, 1999 IEEE Nuclear Science Symposium. Conference Record. 1999 Nuclear Science Symposium and Medical Imaging Conference (Cat. No.99CH37019).

Kevin Skadron, Mircea R. Stan, Wei Huang, 2008, IEEE Transactions on Computers.

Wei Li, Wei Huang, Yixin Zhao, 2008, 2008 2nd International Symposium on Systems and Control in Aerospace and Astronautics.

Wu-chun Feng, Indrani Paul, Wei Huang, 2016, 2016 IEEE International Symposium on Workload Characterization (IISWC).

Indrani Paul, Wei Huang, Brian Kocoloski, 2016, 2016 IEEE International Conference on Cluster Computing (CLUSTER).

Wei Huang, Qiang He, Qiaoli Huang, 2008 .

Kevin Skadron, Mircea R. Stan, Wei Huang, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Masao Nakagawa, Wei Huang, 1996, Proceedings of ICC/SUPERCOMM '96 - International Conference on Communications.

Indrani Paul, Wei Huang, Vijay Janapa Reddi, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Masao Nakagawa, Wei Huang, 1994, Proceedings of IEEE 3rd International Symposium on Spread Spectrum Techniques and Applications (ISSSTA'94).

Kevin Skadron, Mircea R. Stan, Wei Huang, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wei Huang, Jian Xu, Xin-zheng Lu, 2018, Journal of Vibration Engineering & Technologies.

Kevin Skadron, Mircea R. Stan, Wei Huang, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Wei Huang, Jiancheng Xu, Huaxing Wu, 2017, 2017 9th International Conference on Intelligent Human-Machine Systems and Cybernetics (IHMSC).

Kevin Skadron, Zhenyu Qi, Mircea R. Stan, 2010, 2010 IEEE International Conference on Computer Design.

Wei Huang, Yixin Zhao, Qiang He, 2008, 2008 2nd International Symposium on Systems and Control in Aerospace and Astronautics.

Wei Huang, Xianshe Feng, Xiaodong Wang, 2013 .

Wei Huang, Weijun Zhang, Xiaoming Gao, 2006, Spectrochimica acta. Part A, Molecular and biomolecular spectroscopy.

Wei Huang, Ying Zhang, Xin Yang, 2016, Journal of Materials Science.

Wei Huang, Karthick Rajamani, Charles Lefurgy, 2012 .

Wei Huang, Jiawei Luo, Buwen Cao, 2018, IEEE/ACM Transactions on Computational Biology and Bioinformatics.