Yu Huang

发表

Ruifeng Guo, Wu-Tung Cheng, Yu Huang, 2007, 16th Asian Test Symposium (ATS 2007).

Xijiang Lin, Yu Huang, 2007, 16th Asian Test Symposium (ATS 2007).

Nilanjan Mukherjee, Yan Dong, Janusz Rajski, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wu-Tung Cheng, Chien-Mo James Li, Ruifeng Guo, 2008, IEEE Design & Test of Computers.

Wu-Tung Cheng, Ruifeng Guo, Yu Huang, 2008, 2008 13th European Test Symposium.

Wu-Tung Cheng, Yu Huang, G. Crowell, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Nilanjan Mukherjee, Sudhakar M. Reddy, Wu-Tung Cheng, 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

Janusz Rajski, Wu-Tung Cheng, Kun-Han Tsai, 2004, 13th Asian Test Symposium.

Janusz Rajski, Yu Huang, 2015, 2015 28th IEEE International System-on-Chip Conference (SOCC).

Yang Wu, Yu Huang, Chunsheng Liu, 2007, 16th Asian Test Symposium (ATS 2007).

Yu Huang, 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

Jianbo Li, Dong Xiang, Wu-Tung Cheng, 2012, 2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology.

Sudhakar M. Reddy, Wu-Tung Cheng, Yu Huang, 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..

Wu-Tung Cheng, Yu Huang, Greg Crowell, 2005, ASP-DAC.

Mark Mohammad Tehranipoor, Wu-Tung Cheng, Ruifeng Guo, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Huang, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Irith Pomeranz, Sudhakar M. Reddy, Wei Zou, 2003, Proceedings. 21st VLSI Test Symposium, 2003..

Wu-Tung Cheng, R. Klingenberg, Yu Huang, 2007, 16th Asian Test Symposium (ATS 2007).

Nilanjan Mukherjee, Sudhakar M. Reddy, Wu-Tung Cheng, 2002, J. Electron. Test..

Yu Huang, 2005, IEEE International Conference on Test, 2005..

Jianbo Li, Dong Xiang, Wu-Tung Cheng, 2012, 2012 IEEE 21st Asian Test Symposium.

Mark Mohammad Tehranipoor, Wu-Tung Cheng, Yu Huang, 2010, 2010 15th IEEE European Test Symposium.

Sudhakar M. Reddy, Wu-Tung Cheng, Yu Huang, 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

Janusz Rajski, Wu-Tung Cheng, Nagesh Tamarapalli, 2006, 2006 IEEE International Test Conference.

Nilanjan Mukherjee, Sudhakar M. Reddy, Wu-Tung Cheng, 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

Yu Hu, Xiaowei Li, Jing Ye, 2015, IEEE Trans. Very Large Scale Integr. Syst..

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Wu-Tung Cheng, Ruifeng Guo, Yu Huang, 2007, 2007 IEEE International Test Conference.

Wu-Tung Cheng, Ruifeng Guo, Liyang Lai, 2008, 2008 IEEE International Test Conference.

Wu-Tung Cheng, Ruifeng Guo, Yu Huang, 2009, 2009 Asian Test Symposium.

Yu Huang, Keith Gallie, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Wu-Tung Cheng, Yu Huang, Yu Huang, 2010, 2010 19th IEEE Asian Test Symposium.

Mark Mohammad Tehranipoor, Domenic Forte, Kan Xiao, 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

Wu-Tung Cheng, Ruifeng Guo, Yu Huang, 2007, 2007 IEEE International Test Conference.

Wu-Tung Cheng, Kun-Han Tsai, Yu Huang, 2010, 2010 IEEE International Test Conference.

Janusz Rajski, Wu-Tung Cheng, Yu Huang, 2005, IEEE International Conference on Test, 2005..

Yu Huang, G. Aldrich, N. Mukherjee, 2007, 16th Asian Test Symposium (ATS 2007).

Nilanjan Mukherjee, Sudhakar M. Reddy, Wu-Tung Cheng, 2001, Proceedings 10th Asian Test Symposium.

Ruifeng Guo, Wu-Tung Cheng, Yu Huang, 2008, 2008 IEEE International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Sudhakar M. Reddy, Wu-Tung Cheng, Xun Tang, 2009, 2009 Asian Test Symposium.

Yu Hu, Fei Wang, Xiaowei Li, 2008, 2008 17th Asian Test Symposium.

Wu-Tung Cheng, Jennifer Dworak, Xijiang Lin, 2008, 26th IEEE VLSI Test Symposium (vts 2008).

Wu-Tung Cheng, Yu Huang, Cheng-Ju Hsieh, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Wu-Tung Cheng, Yu Huang, Cheng-Ju Hsieh, 2003, 2003 Test Symposium.

Wu-Tung Cheng, Yu Huang, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Sudhakar M. Reddy, Wu-Tung Cheng, Yu Huang, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Nilanjan Mukherjee, Janusz Rajski, Jerzy Tyszer, 2013, 2013 IEEE International Test Conference (ITC).

Yu Hu, Xiaowei Li, Jing Ye, 2013, 2013 IEEE International Test Conference (ITC).

Nilanjan Mukherjee, Sudhakar M. Reddy, Wu-Tung Cheng, 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

Xijiang Lin, Yu Huang, 2008, J. Electron. Test..