N. Carter

发表

William J. Dally, Stephen W. Keckler, Nicholas P. Carter, 1995 .

Jeffrey J. Cook, Derek B. Gottlieb, Joshua D. Walstrom, 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..

N.P. Carter, S. Ferrera, L. Kothari, 2005, Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005..

Steve Ferrera, Nicholas P. Carter, N. Carter, 2004, Int. J. Circuit Theory Appl..

Steve Ferrera, Nicholas P. Carter, N. Carter, 2004, FPGA '04.

Steve Ferrera, Nicholas P. Carter, N. Carter, 2003, FPL.

William J. Dally, Stephen W. Keckler, Nicholas P. Carter, 1997, Proceedings of the 28th Annual International Symposium on Microarchitecture.

W. Dally, S. Keckler, Marco Fillo, 1995, MICRO 28.

William J. Dally, Stephen W. Keckler, Nicholas P. Carter, 1994, ASPLOS VI.

Nicholas P. Carter, Nitin Navale, Erica Lundgren, 2007, Int. J. Circuit Theory Appl..

Nicholas P. Carter, Love Kothari, N. Carter, 2007, IEEE Transactions on Computers.

William J. Dally, Stephen W. Keckler, Nicholas P. Carter, 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

Heather M. Quinn, Nicholas P. Carter, André DeHon, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Sarita V. Adve, Vikram S. Adve, Nicholas P. Carter, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

William J. Dally, Stephen W. Keckler, Daniel Maskit, 1998, Proceedings. 25th Annual International Symposium on Computer Architecture (Cat. No.98CB36235).

Nicholas P. Carter, N. Carter, 2000, ISHPC.

Wolfgang Porod, Wu Bin, Alexandra Imre, 2005, Microelectron. J..

Benoît Meister, Josep Torrellas, Shekhar Y. Borkar, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).