Minsoo Rhu

发表

Yujeong Choi, Minsoo Rhu, Minsoo Rhu, 2019, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Minsoo Rhu, Youngeun Kwon, Yunjae Lee, 2020, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Natalia Gimelshein, Jason Clemons, Stephen W. Keckler, 2016, ArXiv.

Donald S. Fussell, Mattan Erez, Daniel R. Johnson, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Minsoo Rhu, In-Cheol Park, 2010, IEEE Transactions on Circuits and Systems for Video Technology.

In-Cheol Park, Minsoo Rhu, 2009, 2009 16th IEEE International Conference on Image Processing (ICIP).

Minsoo Rhu, Youngeun Kwon, Taehun Kim, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

In-Cheol Park, Minsoo Rhu, 2009, 2009 IEEE Workshop on Signal Processing Systems.

Jangwoo Kim, Hanjun Kim, Youngsok Kim, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Yunseong Kim, Yujeong Choi, Minsoo Rhu, 2020, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Mattan Erez, Jingwen Leng, Michael B. Sullivan, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Minsoo Rhu, Youngeun Kwon, Minsoo Rhu, 2019, IEEE Micro.

William J. Dally, Antonio Puglielli, Brucek Khailany, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

John Kim, Tor M. Aamodt, Minsoo Rhu, 2020, PACT.

Yuan Xie, Jason Clemons, Stephen W. Keckler, 2017 .

Jinsuk Chung, Mattan Erez, Jungrae Kim, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Minsoo Rhu, Youngeun Kwon, Minsoo Rhu, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Meeta Sharma Gupta, Jingwen Leng, Vijay Janapa Reddi, 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

In-Cheol Park, Minsoo Rhu, 2009, 2009 16th IEEE International Conference on Image Processing (ICIP).

Natalia Gimelshein, Jason Clemons, Stephen W. Keckler, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Mattan Erez, Minsoo Rhu, Minsoo Rhu, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Mattan Erez, Minsoo Rhu, Minsoo Rhu, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

William J. Dally, Daniel R. Johnson, Stephen W. Keckler, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Minsoo Rhu, Minsoo Rhu, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Stephen W. Keckler, Niladrish Chatterjee, Mike O'Connor, 2017, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Minsoo Rhu, Bongjoon Hyun, Jiwon Lee, 2021, IEEE Computer Architecture Letters.

Jung Ho Ahn, Minsoo Rhu, Wonkyung Jung, 2021, ArXiv.

David R. Kaeli, Yunsi Fei, Minsoo Rhu, 2021, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Minsoo Rhu, Youngeun Kwon, Yunjae Lee, 2021, IEEE Computer Architecture Letters.

Jung Ho Ahn, Jaehyun Park, Minsoo Rhu, 2021, IEEE Computer Architecture Letters.