Wim Dehaene

发表

Jan Sevenhans, Michel S. J. Steyaert, Stefan Gogaert, 1993 .

Wim Dehaene, Roel Uytterhoeven, Clara Nieto Taladriz Moreno, 2020 .

Michiel Steyaert, Wim Dehaene, Andreas Wiesbauer, 2010, 2010 Symposium on VLSI Circuits.

Wim Dehaene, Leen Goovaerts, Mieke De Cock, 2017 .

Wim Dehaene, Kris Myny, Koji Obata, 2014, Scientific Reports.

Marian Verhelst, Wim Dehaene, Wentao Jiang, 2018, 2018 IEEE International Ultrasonics Symposium (IUS).

Wim Dehaene, Kris Myny, Jan Genoe, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Marian Verhelst, Wim Dehaene, 2009 .

Michiel Steyaert, Wim Dehaene, Bert Serneels, 2006, 2006 13th IEEE International Conference on Electronics, Circuits and Systems.

Marian Verhelst, Wim Dehaene, M. Verhelst, 2005, IEEE International Conference on Communications, 2005. ICC 2005. 2005.

Wim Dehaene, Masaya Sumita, 2013, ISSCC.

Wim Dehaene, Geert Leus, Yves Vanderperren, 2009, IEEE Transactions on Wireless Communications.

Wim Dehaene, Patrick Reynaert, Pieter A. J. Nuyts, 2012, IEEE Transactions on Circuits and Systems II: Express Briefs.

Wim Dehaene, Vibhu Sharma, Francky Catthoor, 2013 .

Georges G. E. Gielen, Wim Dehaene, Valentijn De Smedt, 2011, IEEE Asian Solid-State Circuits Conference 2011.

Hua Wang, Francky Catthoor, Wim Dehaene, 2005, Design, Automation and Test in Europe.

Wim Dehaene, Praveen Raghavan, Pieter Weckx, 2017, 2017 47th European Solid-State Device Research Conference (ESSDERC).

Wim Dehaene, Vibhu Sharma, Francky Catthoor, 2013 .

Wim Dehaene, Michiel Steyaert, Andreas Wiesbauer, 2008, 2008 IEEE International Symposium on Circuits and Systems.

Michiel Steyaert, Georges G. E. Gielen, Wim Dehaene, 2009, 2009 Proceedings of ESSCIRC.

Wim Dehaene, Yves Vanderperren, Cedric Walravens, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Marian Verhelst, Sofie Pollin, Patrick Wambacq, 2017, IEEE Communications Magazine.

Wim Dehaene, Maarten Baert, 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

Liesbet Van der Perre, Wim Dehaene, Praveen Raghavan, 2013, 2013 Euromicro Conference on Digital System Design.

Wim Dehaene, Georges Gielen, Hans Danneels, 2012, 2012 IEEE Asian Solid State Circuits Conference (A-SSCC).

Wim Dehaene, Kris Myny, Jan Genoe, 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

André Bourdoux, Yanxiang Huang, Liesbet Van der Perre, 2017, 2017 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Wim Dehaene, Peter Geens, W. Dehaene, 2007 .

Elvinia Riccobene, Wim Dehaene, Patrizia Scandurra, 2006 .

Francky Catthoor, Wim Dehaene, Said Hamdioui, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Denis C. Daly, Bruno Bougard, Anantha Chandrakasan, 2005, Design, Automation and Test in Europe.

Wim Dehaene, Erik van Veenendaal, Kris Myny, 2011, 2011 IEEE International Solid-State Circuits Conference.

Marian Verhelst, Georges Gielen, Wim Dehaene, 2007 .

Wim Dehaene, Nele Reynders, W. Dehaene, 2015 .

Andrea Baschirotto, Pierluigi Nuzzo, Wim Dehaene, 2007, IEEE Journal of Solid-State Circuits.

Georges Gielen, Wim Dehaene, Patrick Reynaert, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Wim Dehaene, Hans Reyserhove, 2017, IEEE Journal of Solid-State Circuits.

Wim Dehaene, Said Hamdioui, Mottaqiallah Taouil, 2015 .

Sabine Van Huffel, Wim Dehaene, Robert Puers, 2013, 2013 IEEE Power & Energy Society General Meeting.

Wim Dehaene, Marc Pauwels, Ali Sayinta, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Wim Dehaene, Junfeng Zhou, 2011, IEEE Transactions on Electromagnetic Compatibility.

Georges G. E. Gielen, Wim Dehaene, Valentijn De Smedt, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Luca Benini, Federico Angiolini, Paresh Limaye, 2010, IEEE Journal of Solid-State Circuits.

Wim Dehaene, Hans Reyserhove, 2019 .

Liesbet Van der Perre, Erik Brockmeyer, Bruno Bougard, 2005, J. VLSI Signal Process..

Wim Dehaene, Yves Vanderperren, Wolfgang Mueller, 2006 .

Wim Dehaene, Kris Myny, Duy-Vu Pham, 2014, IEEE Transactions on Electron Devices.

Marian Verhelst, Wim Dehaene, Patrick Reynaert, 2014, ESSCIRC 2014 - 40th European Solid State Circuits Conference (ESSCIRC).

Marian Verhelst, Georges G. E. Gielen, Wim Dehaene, 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Wim Dehaene, Patrick Reynaert, Pieter A. J. Nuyts, 2013, IEEE Transactions on Circuits and Systems II: Express Briefs.

Wim Dehaene, Hans Reyserhove, 2019 .

Liesbet Van der Perre, Wim Dehaene, Praveen Raghavan, 2013 .

Wim Dehaene, Hans Reyserhove, 2017, ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference.

Wim Dehaene, Nele Reynders, 2015 .

Wim Dehaene, Junfeng Zhou, 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

Hua Wang, Francky Catthoor, Wim Dehaene, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Chunshu Li, André Bourdoux, Yanxiang Huang, 2016, 2016 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Wim Dehaene, Wolfgang Eberle, Z. Bougard, 2003, 2003 IEEE Workshop on Signal Processing Systems (IEEE Cat. No.03TH8682).

Ingrid Verbauwhede, Wim Dehaene, Nele Mentens, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Wim Dehaene, Praveen Raghavan, Pieter Weckx, 2017, Advanced Lithography.

Paresh Limaye, Bart Vandevelde, Eric Beyne, 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

Wim Dehaene, Patrick Reynaert, Wouter Volkaerts, 2012, 2012 19th IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2012).

Wim Dehaene, Kris Myny, Duy-Vu Pham, 2012, 2012 IEEE International Solid-State Circuits Conference.

Wim Dehaene, Pieter Weckx, Nele Reynders, 2012, PATMOS.

Liesbet Van der Perre, Bruno Bougard, Francky Catthoor, 2007, EURASIP J. Wirel. Commun. Netw..

Wim Dehaene, Nele Mentens, Thomas Vandenabeele, 2018, 2018 28th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS).

Wim Dehaene, Vibhu Sharma, Francky Catthoor, 2013 .

Wim Dehaene, Praveen Raghavan, Stefan Cosemans, 2011 .

Wim Dehaene, 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

Wim Dehaene, Kris Myny, Gerwin H. Gelinck, 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Georges G. E. Gielen, Wim Dehaene, Valentijn De Smedt, 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).

Marian Verhelst, Georges G. E. Gielen, Wim Dehaene, 2010, IEEE Journal of Solid-State Circuits.

Marc Moonen, Chris Van Hoof, Patrick Wambacq, 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

Marian Verhelst, Wim Dehaene, Thomas Bos, 2017, 2017 15th IEEE International New Circuits and Systems Conference (NEWCAS).

Michiel Steyaert, Wim Dehaene, Jorg Daniels, 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

Geert Vandenberghe, Wim Dehaene, Staf Verhaegen, 2008, SPIE Advanced Lithography.

Marian Verhelst, Michel Steyaert, Wim Dehaene, 2006 .

Ingrid Verbauwhede, Wim Dehaene, Bohan Yang, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Wim Dehaene, Hans Reyserhove, 2016, ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference.

Uming Ko, Maurits Ortmanns, Wim Dehaene, 2013, IEEE J. Solid State Circuits.

Michiel Steyaert, Wim Dehaene, Tom Van Breussegem, 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).

Wim Dehaene, Patrick Reynaert, Pieter A. J. Nuyts, 2013 .

Ingrid Verbauwhede, Wim Dehaene, Vladimir Rozic, 2012, 2012 IEEE International Symposium on Hardware-Oriented Security and Trust.

Wim Dehaene, Giuseppe Iannaccone, Praveen Raghavan, 2017, Scientific Reports.

Wim Dehaene, Yves Vanderperren, 2005, Design, Automation and Test in Europe.

Marian Verhelst, Zheng Li, Georges Gielen, 2012 .

Erik G. Larsson, Liesbet Van der Perre, Sofie Pollin, 2016 .

Georges G. E. Gielen, Wim Dehaene, Valentijn De Smedt, 2013, IEEE Journal of Solid-State Circuits.

Georges G. E. Gielen, Wim Dehaene, Karen Maex, 2005, Design, Automation and Test in Europe.

Wim Dehaene, Erik van Veenendaal, Kris Myny, 2012, IEEE Journal of Solid-State Circuits.

Wim Dehaene, Leen Goovaerts, Mieke De Cock, 2019 .

Marian Verhelst, Wim Dehaene, Tuba Ayhan, 2013, SiPS 2013 Proceedings.

Wim Dehaene, Yves Vanderperren, W. Dehaene, 2005, FDL.

Wim Dehaene, Hans Reyserhove, 2019 .

Wim Dehaene, Bram Rooseleer, 2013, 2013 Proceedings of the ESSCIRC (ESSCIRC).

Wim Dehaene, Nele Reynders, 2012, IEEE Transactions on Circuits and Systems II: Express Briefs.

Marian Verhelst, Wim Dehaene, 2009 .

Eric Beyne, Wim Dehaene, Anne Jourdain, 2009, 2009 IEEE International Conference on 3D System Integration.

Wim Dehaene, Michiel Steyaert, Tim Piessens, 2005 .

Wim Dehaene, Patrick Reynaert, Pieter A. J. Nuyts, 2014 .

Paresh Limaye, Bart Vandevelde, Eric Beyne, 2010, Proceedings of 2010 International Symposium on VLSI Technology, System and Application.

Wim Dehaene, Kris Myny, Steve Smout, 2011 .

Paul Leroux, Michiel Steyaert, Georges Gielen, 2013 .

Wim Dehaene, Yves Vanderperren, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Marian Verhelst, Michiel Steyaert, Wim Dehaene, 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

Liesbet Van der Perre, Wim Dehaene, Praveen Raghavan, 2014, GLSVLSI '14.

Diederik Verkest, Wim Dehaene, Julien Ryckaert, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Marian Verhelst, Wim Dehaene, Bert Moons, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Liesbet Van der Perre, Bruno Bougard, Francky Catthoor, 2006, 2006 IEEE Workshop on Signal Processing Systems Design and Implementation.

Wim Dehaene, Kris Myny, Jan Genoe, 2018, IEEE Journal of Solid-State Circuits.

Wim Dehaene, Nele Reynders, Hans Reyserhove, 2014, 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Liesbet Van der Perre, Bruno Bougard, Paul Marchal, 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

Wim Dehaene, Stefan Cosemans, Francky Catthoor, 2006 .

Paul Marchal, Francky Catthoor, Wim Dehaene, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Michiel Steyaert, Wim Dehaene, Erik van Veenendaal, 2011, 2011 Proceedings of the European Solid-State Device Research Conference (ESSDERC).

Francky Catthoor, Wim Dehaene, Vibhu Sharma, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Georges Gielen, Wim Dehaene, Valentijn De Smedt, 2015 .

Wim Dehaene, Tom Redant, 2013, 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Wim Dehaene, Nele Mentens, Kris Myny, 2019, IACR Trans. Cryptogr. Hardw. Embed. Syst..

Michiel Steyaert, Georges G. E. Gielen, Wim Dehaene, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Diederik Verkest, Bruno Bougard, Eric Beyne, 2009, Proceedings of the IEEE.

Wim Dehaene, Georges Gielen, Valentijn De Smedt, 2013, 2013 Proceedings of the ESSCIRC (ESSCIRC).

Wim Dehaene, Nele Reynders, Bram Rooseleer, 2014, 2014 IEEE Faible Tension Faible Consommation.

David Seebacher, Franz Dielacher, Wim Dehaene, 2015, ESSCIRC Conference 2015 - 41st European Solid-State Circuits Conference (ESSCIRC).

Wim Dehaene, Kris Myny, Gerwin H. Gelinck, 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

Ingrid Verbauwhede, Wim Dehaene, Bohan Yang, 2016, 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Wim Dehaene, Hans Reyserhove, 2017, 2017 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).

Bruno Bougard, Francky Catthoor, Antonis Papanikolaou, 2007 .

Wim Dehaene, Cedric Walravens, W. Dehaene, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Hua Wang, Francky Catthoor, Wim Dehaene, 2008, J. Signal Process. Syst..

Paresh Limaye, Bart Vandevelde, Eric Beyne, 2010, IEEE Custom Integrated Circuits Conference 2010.

Wim Dehaene, Praveen Raghavan, Pieter Weckx, 2017, 2017 IEEE International Conference on IC Design and Technology (ICICDT).

Wim Dehaene, Yves Vanderperren, Wolfgang Mueller, 2008 .

Wim Dehaene, Kris Myny, Steve Smout, 2010 .

Ingrid Verbauwhede, Wim Dehaene, Nele Mentens, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Wim Dehaene, Yves Vanderperren, 2008, 2008 International Conference on Application-Specific Systems, Architectures and Processors.

Wim Dehaene, Stefan Cosemans, Anselme Vignon, 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).

Wim Dehaene, Stefan Cosemans, Bram Rooseleer, 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).

Wim Dehaene, Vibhu Sharma, Francky Catthoor, 2013 .

Marian Verhelst, Wim Dehaene, Bert Moons, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Wim Dehaene, Vibhu Sharma, Francky Catthoor, 2013 .

Marian Verhelst, Wim Dehaene, Tuba Ayhan, 2014, 2014 22nd Signal Processing and Communications Applications Conference (SIU).

Wim Dehaene, Patrick Reynaert, Marian Verhelst, 2016 .

Wim Dehaene, Yves Vanderperren, Wolfgang Mueller, 2008, Des. Autom. Embed. Syst..

Wim Dehaene, Tom Redant, Frederic Stubbe, 2011, IEEE Asian Solid-State Circuits Conference 2011.

Francky Catthoor, Wim Dehaene, Said Hamdioui, 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Wim Dehaene, Masato Motomura, Yohan Frans, 2019, IEEE J. Solid State Circuits.

Georges Gielen, Wim Dehaene, Robert Puers, 2011 .

Wim Dehaene, Kris Myny, Soeren Steudel, 2018, ESSCIRC 2018 - IEEE 44th European Solid State Circuits Conference (ESSCIRC).

Francky Catthoor, Wim Dehaene, Vibhu Sharma, 2011, IEEE Journal of Solid-State Circuits.

Ingrid Verbauwhede, Wim Dehaene, Markus Ullrich, 2013, IEEE Transactions on Education.

Francky Catthoor, Wim Dehaene, Said Hamdioui, 2018, Microelectron. Reliab..

Elvinia Riccobene, Wim Dehaene, Patrizia Scandurra, 2006, ICCAD.

Francky Catthoor, Wim Dehaene, Vibhu Sharma, 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).

Wim Dehaene, Pol Marchal, Marco Facchini, 2009, HPCA 2009.

Wim Dehaene, Patrick Reynaert, Pieter A. J. Nuyts, 2013 .

Franz Dielacher, Wim Dehaene, Peter Singerl, 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).

Hua Wang, Francky Catthoor, Wim Dehaene, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wim Dehaene, Junfeng Zhou, 2011, IEEE Transactions on Electromagnetic Compatibility.

Michel Steyaert, Wim Dehaene, Jan Craninckx, 1995, ESSCIRC '95: Twenty-first European Solid-State Circuits Conference.

Wim Dehaene, Georges G. E. Gielen, Valentijn De Smedt, 2009, 2009 Proceedings of ESSCIRC.

Diederik Verkest, Wim Dehaene, Miguel Corbalan, 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

Wim Dehaene, Nele Reynders, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

Wim Dehaene, Karen Maex, Michele Stucchi, 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

Wim Dehaene, Cedric Walravens, W. Dehaene, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wim Dehaene, Maarten Baert, 2020, IEEE Journal of Solid-State Circuits.

Wim Dehaene, 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

Wim Dehaene, Thomas Bos, Marian Verhelst, 2019, 2019 IEEE Biomedical Circuits and Systems Conference (BioCAS).

Georges Gielen, Wim Dehaene, Valentijn De Smedt, 2015 .

Marisa Michelini, Wim Dehaene, Johan Deprez, 2019, Journal of Physics: Conference Series.

Wim Dehaene, Kris Myny, Gerwin H. Gelinck, 2011, IEEE Journal of Solid-State Circuits.

Wim Dehaene, Bert Serneels, Tim Piessens, 2004 .

Marian Verhelst, Wim Dehaene, 2009 .

Wim Dehaene, Geert Leus, Yves Vanderperren, 2006, 2006 IEEE International Conference on Communications.

Wim Dehaene, Kris Myny, Jan Genoe, 2017, 2017 IEEE Custom Integrated Circuits Conference (CICC).

Wim Dehaene, Kris Myny, Steve Smout, 2013 .

Marian Verhelst, Wim Dehaene, Julien Ryckaert, 2008, 2008 IEEE International Conference on Communications.

Geert Vandenberghe, H.-S. Philip Wong, Wim Dehaene, 2016, SPIE Advanced Lithography.

Francky Catthoor, Wim Dehaene, Vibhu Sharma, 2012, IEEE Micro.

Wim Dehaene, Kris Myny, Jan Genoe, 2017, IEEE Journal of Solid-State Circuits.

Wim Dehaene, Hugo Pues, Kristof Stijnen, 2017, 2017 11th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMCCompo).

Jan D’hooge, Wim Dehaene, Wentao Jiang, 2019, IEEE Transactions on Biomedical Circuits and Systems.

Erik G. Larsson, Liesbet Van der Perre, Sofie Pollin, 2016 .

Michiel Steyaert, Wim Dehaene, Bert Serneels, 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

Wim Dehaene, Hans Reyserhove, 2019 .

Wim Dehaene, Yves Vanderperren, Marc Pauwels, 2003 .

Marian Verhelst, Wim Dehaene, M. Verhelst, 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.

Wim Dehaene, Praveen Raghavan, Liesbet Van der Perre, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wim Dehaene, Mieke De Cock, Fien Depaepe, 2018, IEEE Instrumentation & Measurement Magazine.

Marian Verhelst, Wim Dehaene, 2009 .

Wim Dehaene, Nele Reynders, 2015 .

Bruno Bougard, Francky Catthoor, Wim Dehaene, 2006, Signal Process..

Wim Dehaene, Hugo Pues, Kristof Stijnen, 2015, 2015 10th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo).

Michiel Steyaert, Wim Dehaene, Andreas Wiesbauer, 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.

Sabine Van Huffel, Joos Vandewalle, Robert Puers, 2013, Eurocon 2013.

Marian Verhelst, Wim Dehaene, Patrick Reynaert, 2015, IEEE Journal of Solid-State Circuits.

Wim Dehaene, Vibhu Sharma, Francky Catthoor, 2013 .

Diederik Verkest, Wim Dehaene, Miguel Corbalan, 2012, Microelectron. Reliab..

Georges G. E. Gielen, Wim Dehaene, Valentijn De Smedt, 2015, IEEE Transactions on Circuits and Systems II: Express Briefs.

Michiel Steyaert, Wim Dehaene, Georges Gielen, 2009 .

Marian Verhelst, Wim Dehaene, M. Verhelst, 2009 .

Wim Dehaene, Jorg Daniels, Michiel Steyaert, 2009 .

Paul Leroux, Wim Dehaene, Patrick Reynaert, 2017 .

Georges Gielen, Wim Dehaene, Valentijn De Smedt, 2015 .

Rudy Lauwereins, Wim Dehaene, Philippe Roussel, 2018, 2018 48th European Solid-State Device Research Conference (ESSDERC).

Wim Dehaene, Junfeng Zhou, W. Dehaene, 2008, 2008 Asia-Pacific Symposium on Electromagnetic Compatibility and 19th International Zurich Symposium on Electromagnetic Compatibility.

Wim Dehaene, Tom Redant, 2013, IEEE Transactions on Circuits and Systems II: Express Briefs.

Wim Dehaene, Kris Myny, Jan Genoe, 2016 .

Michiel Steyaert, Wim Dehaene, Jorg Daniels, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Hua Wang, Francky Catthoor, Wim Dehaene, 2006, 2006 IEEE International Workshop on Memory Technology, Design, and Testing (MTDT'06).

Marian Verhelst, Michiel Steyaert, Georges G. E. Gielen, 2008, 2008 IEEE International Symposium on Circuits and Systems.

Geert Vandenberghe, Wim Dehaene, Julien Ryckaert, 2016 .

Wim Dehaene, Leen Goovaerts, Mieke De Cock, 2017 .

Luca Benini, Paul Marchal, Francky Catthoor, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Wim Dehaene, Robert B. Staszewski, Eugenio Cantatore, 2017, IEEE J. Solid State Circuits.

Wim Dehaene, Patrick Reynaert, Pieter A. J. Nuyts, 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

Wim Dehaene, Nele Reynders, Ku Leuven, 2014 .

Wim Dehaene, Jan Genoe, Florian De Roose, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Wim Dehaene, Leen Goovaerts, Mieke De Cock, 2017 .

Wim Dehaene, Johan Deprez, Stijn Ceuppens, 2018, Physics Education.

Marian Verhelst, Wim Dehaene, Tuba Ayhan, 2012, 2012 IEEE Workshop on Signal Processing Systems.

Chris Van Hoof, Timothy Denison, Wim Dehaene, 2012, 2012 IEEE International Solid-State Circuits Conference.

Wim Dehaene, Patrick Reynaert, Pieter A. J. Nuyts, 2013, 2013 IEEE Radio Frequency Integrated Circuits Symposium (RFIC).

Francky Catthoor, Wim Dehaene, Said Hamdioui, 2016, 2016 21th IEEE European Test Symposium (ETS).

Wim Dehaene, Kris Myny, Koji Obata, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

Marian Verhelst, Wim Dehaene, Tuba Ayhan, 2014, 2014 22nd European Signal Processing Conference (EUSIPCO).

Wim Dehaene, Stefan Cosemans, Bram Rooseleer, 2012, IEEE Journal of Solid-State Circuits.

Wim Dehaene, Patrick Reynaert, Pieter A. J. Nuyts, 2014 .

Georges G. E. Gielen, Wim Dehaene, Valentijn De Smedt, 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

Marian Verhelst, Wim Dehaene, Patrick Reynaert, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

Wim Dehaene, Iuliana Radu, Praveen Raghavan, 2017, 2017 47th European Solid-State Device Research Conference (ESSDERC).

Georges G. E. Gielen, Zheng Li, Wim Dehaene, 2009, IEEE Transactions on Wireless Communications.

Wim Dehaene, Patrick Reynaert, Pieter A. J. Nuyts, 2014 .

Michel Steyaert, Wim Dehaene, Bert Serneels, 2005 .

Wim Dehaene, Praveen Raghavan, Stefan Cosemans, 2011 .

Liesbet Van der Perre, Diederik Verkest, Wim Dehaene, 2015, 2015 IEEE Non-Volatile Memory System and Applications Symposium (NVMSA).

Wim Dehaene, Nele Reynders, 2011, IEEE Asian Solid-State Circuits Conference 2011.

Paul Marchal, Wim Dehaene, Stefan Cosemans, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Wim Dehaene, Roel Uytterhoeven, 2018, ESSCIRC 2018 - IEEE 44th European Solid State Circuits Conference (ESSCIRC).

Wim Dehaene, Kris Myny, Mohit Dandekar, 2021, ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC).

Wim Dehaene, Kris Myny, Jonas Pelgrims, 2021, ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC).

Wim Dehaene, Kris Myny, Hikmet Çeliker, 2021, ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC).

Wim Dehaene, Kris Myny, Jonas Pelgrims, 2021, ESSDERC 2021 - IEEE 51st European Solid-State Device Research Conference (ESSDERC).

Wim Dehaene, Jérôme Mitard, Romain Delhougne, 2021, ESSDERC 2021 - IEEE 51st European Solid-State Device Research Conference (ESSDERC).

Wim Dehaene, Roel Uytterhoeven, 2022, IEEE Journal of Solid-State Circuits.

Rudy Lauwereins, Diederik Verkest, Wim Dehaene, 2021, 2021 International Joint Conference on Neural Networks (IJCNN).

Wim Dehaene, Kris Myny, Jan Genoe, 2016 .

Wim Dehaene, Leen Goovaerts, Katrien Struyven, 2019, European Journal of STEM Education.

Wim Dehaene, Kris Myny, Soeren Steudel, 2013 .

Wim Dehaene, Mieke De Cock, Greet Langie, 2017 .

Wim Dehaene, Iuliana Radu, Aaron Thean, 2015, IEEE Transactions on Electron Devices.

Wim Dehaene, Kris Myny, Jan Genoe, 2016 .

Wim Dehaene, Iuliana Radu, Aaron Thean, 2016, ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference.

Georges Gielen, Wim Dehaene, Valentijn De Smedt, 2013, 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Paul Leroux, Wim Dehaene, Maarten Baert, 2017, 2017 11th European Conference on Antennas and Propagation (EUCAP).

Georges Gielen, Wim Dehaene, Valentijn De Smedt, 2015 .