M. Donato

发表

R. Iris Bahar, William R. Patterson, Alexander Zaslavsky, 2015, ACM Great Lakes Symposium on VLSI.

Alexander M. Rush, Glenn G. Ko, Gu-Yeon Wei, 2023, IEEE Journal of Solid-State Circuits.

Alexander M. Rush, Gu-Yeon Wei, David Brooks, 2019, 2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Alexander M. Rush, Gu-Yeon Wei, D. Brooks, 2020, ArXiv.

Gu-Yeon Wei, David Brooks, Mark Hempstead, 2021, 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Alexander M. Rush, David Brooks, Paul N. Whatmough, 2020, MICRO.

R. Iris Bahar, William R. Patterson, Marco Donato, 2020, IEEE Transactions on Device and Materials Reliability.

David Brooks, Gu-Yeon Wei, Brandon Reagen, 2018, Design Automation Conference.

Gu-Yeon Wei, Qiuwen Lou, Michael Niemier, 2021, 2021 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Gu-Yeon Wei, David Brooks, Lillian Pentecost, 2019, IEEE Micro.

Gu-Yeon Wei, D. Brooks, Lillian Pentecost, 2020 .

Glenn G. Ko, Sae Kyu Lee, Gu-Yeon Wei, 2022, IEEE Journal of Solid-State Circuits.

Gu-Yeon Wei, Paul N. Whatmough, Glenn G. Ko, 2020, IEEE Micro.

Joseph L. Mundy, Fabio Cremona, Warren Jin, 2012, GLSVLSI '12.