Yi Wang

发表

Yi Wang, Renfa Li, 2011, 2011 Fourth International Symposium on Parallel Architectures, Algorithms and Programming.

Cheng-Xiang Wang, Jianjun Wu, Kostas Pentikousis, 2011 .

Shengfa Wang, Yi Wang, Zhongxuan Luo, 2019, The Visual Computer.

Yi Wang, Thambipillai Srikanthan, Jussipekka Leiwo, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Yun Ling, Yi Wang, Kun Qiu, 2005, SPIE/OSA/IEEE Asia Communications and Photonics.

Yi Wang, Jun Yin, Jialin Peng, 2019, Electric Power Components and Systems.

Yajun Ha, Yi Wang, Yi (Estelle) Wang, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Yi Wang, Da Xing, 2003, International Conference on Photonics and Imaging in Biology and Medicine.

Yajun Ha, Yi Wang, 2014, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Yajun Ha, Yi Wang, Yi (Estelle) Wang, 2017, ACM Trans. Embed. Comput. Syst..

Yi Wang, Lie Xu, Chen Wang, 2019, IEEE Transactions on Smart Grid.

Wei Zhao, Yi Wang, Renfa Li, 2011, 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).

Zhe Zhang, Yi Wang, Changhui Yang, 2019, Comput. Electron. Agric..

Yanbo Wang, Yi Wang, Zeliang Shu, 2019, IEEE Transactions on Industry Applications.

Yi Wang, Thambipillai Srikanthan, Jussipekka Leiwo, 2006, APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems.

Yi Wang, Renfa Li, Yi (Estelle) Wang, 2013, Frontiers of Computer Science.

S. Ogawa, Yi Wang, Akihisa Ohya, 1998, Proceedings of the 20th Annual International Conference of the IEEE Engineering in Medicine and Biology Society. Vol.20 Biomedical Engineering Towards the Year 2000 and Beyond (Cat. No.98CH36286).

Yi Wang, Yimin Yang, Bingbing Luo, 2018 .

Yi Wang, Guang Feng, Xuemei Liu, 2018, 2018 10th International Conference on Measuring Technology and Mechatronics Automation (ICMTMA).

Yi Wang, Douglas L. Maskell, Jussipekka Leiwo, 2008, J. Syst. Archit..

Yi Wang, Shaoyun Zhang, 2015 .

Chongqing Kang, Yi Wang, Qing Xia, 2019, IEEE Transactions on Smart Grid.

Dong Ni, Tianfu Wang, Yi Wang, 2018, IEEE Journal of Biomedical and Health Informatics.

Qian Song, Yi Wang, Renfa Li, 2011, 2011 International Symposium on Integrated Circuits.

Nils Boysen, Yi Wang, Peng Guo, 2018, Int. J. Prod. Res..

Yi Wang, Renfa Li, Zheng Yuan, 2011, 2011 International Symposium on Integrated Circuits.

Yajun Ha, Yi Wang, 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

Wei Zhao, Yi Wang, Renfa Li, 2012, 2012 International Conference on Innovations in Information Technology (IIT).

Yi Wang, Renfa Li, Thi Hanh Nguyen, 2013, J. Inf. Secur. Appl..

Yi Wang, Thambipillai Srikanthan, Yu Yu, 2006, Third International Conference on Information Technology: New Generations (ITNG'06).

Yi Wang, Xiaoya Fan, Danghui Wang, 2006, Third International Conference on Information Technology: New Generations (ITNG'06).

Yi Wang, Renfa Li, Cheng Xu, 2012, 2012 International Conference on Innovations in Information Technology (IIT).

Marc Van Droogenbroeck, Yi Wang, Pierre-Marc Jodoin, 2014 .

Ning Zhang, Chongqing Kang, Yi Wang, 2019 .

Yi Wang, Qingqing Zheng, Pheng Ann Heng, 2018, IEEE Transactions on Medical Imaging.

Yi Wang, François-Xavier Standaert, Francesco Regazzoni, 2011 .

Yajun Ha, Yi Wang, Yi (Estelle) Wang, 2013, IEEE Transactions on Circuits and Systems II: Express Briefs.

Yi Wang, Renfa Li, Li-Min Zhu, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Yi Wang, Da Xing, 2004, Advanced Laser Technologies.

Yi Wang, Huang Huang, Kunpeng Liu, 2014, GLOBECOM 2014.

Paul R. Ashley, Yi Wang, Hongjun Song, 2010 .

Yajun Ha, Khin Mi Mi Aung, Yi Wang, 2013, 2013 International Conference on Field-Programmable Technology (FPT).

Yi Wang, Yang Guan, Hailong Jia, 2018, 2018 IEEE International Conference of Safety Produce Informatization (IICSPI).

Yajun Ha, Yi Wang, Akash Kumar, 2014, 2014 International Conference on Field-Programmable Technology (FPT).

Yajun Ha, Yi Wang, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Yi Wang, Douglas L. Maskell, Thambipillai Srikanthan, 2006, APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems.