Zhongfeng Wang

发表

Zhongfeng Wang, Danyang Zhu, Yifeng Song, 2020, 2020 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS).

Zhongfeng Wang, Jun Lin, Jin Sha, 2010 .

Chaojing Tang, Jing Lei, Lei Wen, 2021, IET Commun..

Zhongfeng Wang, Jiajun Wu, Jun Lin, 2020, 2020 IEEE International Symposium on Circuits and Systems (ISCAS).

Hao Shen, M. Kleinsteuber, Zhongfeng Wang, 2017, IEEE Transactions on Image Processing.

Zhongfeng Wang, Lang Feng, Jiayi Huang, 2021, IEEE Transactions on Computers.

Zhongfeng Wang, B. Hong, Hui Qian, 2022, IEEE Communications Letters.

Zhongfeng Wang, Tongtong Yin, W. Mao, 2021, 2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Zhongfeng Wang, Jiapeng Luo, W. Mao, 2021, 2021 IEEE Workshop on Signal Processing Systems (SiPS).

Zhongfeng Wang, Huihong Shi, W. Mao, 2021, 2021 International Joint Conference on Neural Networks (IJCNN).

Zhongfeng Wang, Danyang Zhu, Jing Tian, 2023, IEEE Transactions on Computers.

Zhongfeng Wang, Jing Tian, Xiao Hu, 2020, 2020 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS).

Zhongfeng Wang, Jun Lin, Luyi Li, 2021, 2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Zhongfeng Wang, Yuxing Chen, Hangxuan Cui, 2022, IEEE Transactions on Circuits and Systems II: Express Briefs.

Aojun Zhou, Zhongfeng Wang, Chao Fang, 2022, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Siyuan Lu, Keli Xie, Zhongfeng Wang, 2022, 2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Zhongfeng Wang, Jun Lin, Ming-Kai Hsu, 2022, 2022 IEEE International Symposium on Circuits and Systems (ISCAS).

Zhongfeng Wang, Hongrui Song, Ya Wang, 2022, 2022 IEEE International Symposium on Circuits and Systems (ISCAS).

Siyuan Lu, Keli Xie, Zhongfeng Wang, 2022, 2211.08842.

Zhongfeng Wang, Xinming Huang, Zhongfeng Wang, 2010 .

Zhongfeng Wang, Danyang Zhu, Jing Tian, 2022, IACR Trans. Cryptogr. Hardw. Embed. Syst..

Zhongfeng Wang, Jing Tian, Minghao Li, 2022, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yuan Du, Xiaoliang Chen, L. Du, 2022, 2022 IEEE International Symposium on Circuits and Systems (ISCAS).

Hong Wang, Zhongfeng Wang, Chao Fang, 2022, 2210.15976.

Y. Wen, Ping Li, Zhongfeng Wang, 2022, Advanced Electronic Materials.

Haoran You, Yingyan Lin, Zhongfeng Wang, 2022, 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Zhongfeng Wang, Ke Tang, Wenjian Liu, 2022, ACM Trans. Design Autom. Electr. Syst..

Zhongfeng Wang, Jun Lin, Jinming Lu, 2020, 2020 IEEE Workshop on Signal Processing Systems (SiPS).

Zhongfeng Wang, Jun Lin, Binjing Li, 2020, 2020 IEEE Workshop on Signal Processing Systems (SiPS).

Zhongfeng Wang, Jun Lin, Yizhi Wang, 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

Zhongfeng Wang, Yizhi Wang, Jun Lin, 2018, 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Zhongfeng Wang, Jun Lin, Yizhi Wang, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mohamad Sawan, Alyssa B. Apsel, Peng Li, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

Zhongfeng Wang, Xiaoliang Chen, Zhuang Shao, 2021, IEEE Transactions on Circuits and Systems I: Regular Papers.

Li Du, Yuan Du, Zhongfeng Wang, 2021, 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Zhongfeng Wang, Wenjian Liu, Jun Lin, 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS).

Zhongfeng Wang, Jun Lin, Tianyi Xu, 2019, ICASSP 2019 - 2019 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Zhongfeng Wang, Jichen Wang, Wendong Mao, 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS).

Zhongfeng Wang, Jun Lin, Fangxuan Sun, 2018, 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Zhongfeng Wang, Jun Lin, Fangxuan Sun, 2018, 2018 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Xiaohu You, Zhongfeng Wang, Chuan Zhang, 2017, 2017 IEEE 12th International Conference on ASIC (ASICON).

Zhongfeng Wang, Jun Lin, Jinming Lu, 2020, 2020 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS).

Zhongfeng Wang, Jun Lin, Jinming Lu, 2021, 2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Chaojian Li, Yingyan Lin, Zhongfeng Wang, 2022, 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Zhongfeng Wang, Yuanyong Luo, Yuxuan Wang, 2021, Electronics.

Siyuan Lu, Meiqi Wang, Jun Lin, 2020, 2020 IEEE 33rd International System-on-Chip Conference (SOCC).

Zhongfeng Wang, Meiqi Wang, Jun Lin, 2019, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Zhongfeng Wang, Meiqi Wang, Li Du, 2019, 2019 IEEE International Workshop on Signal Processing Systems (SiPS).

Zhongfeng Wang, Jun Lin, Zhisheng Wang, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Chunhua Deng, Zhongfeng Wang, Xuehai Qian, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Danyang Zhu, Siyuan Lu, Meiqi Wang, 2020, IEEE Transactions on Circuits and Systems II: Express Briefs.

Meiqi Wang, Yubo Shi, Zhongfeng Wang, 2021, 2021 IEEE International Symposium on Circuits and Systems (ISCAS).

Danyang Zhu, Zhongfeng Wang, Meiqi Wang, 2018, 2018 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS).

Zhongfeng Wang, Xin Cheng, Meiqi Wang, 2022, IEEE Transactions on Circuits and Systems - II - Express Briefs.

Zhongfeng Wang, Xian Wei, Martin Kleinsteuber, 2017, IEEE Transactions on Image Processing.

Zhongfeng Wang, Yufei Ma, Jiayu Wen, 2020, 2020 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS).

Zhongfeng Wang, Hangxuan Cui, Suwen Song, 2022, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Zhongfeng Wang, Yuxing Chen, Hangxuan Cui, 2022, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Zhongfeng Wang, Suwen Song, 2022, IEEE Transactions on Circuits and Systems I: Regular Papers.

Zhongfeng Wang, Bo Wu, Jing Tian, 2021, IEEE Transactions on Circuits and Systems I: Regular Papers.

Zhongfeng Wang, Guoqiang Hang, Peiyi Zhao, 2010, 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology.

Zhongfeng Wang, Peiyi Zhao, P. Zhao, 2009, 2009 IEEE 8th International Conference on ASIC.

Peiyi Zhao, Zhongfeng Wang, P. Zhao, 2009 .

Zhongfeng Wang, Zhiqiang Cui, Zhongfeng Wang, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Zhongfeng Wang, Hui Qian, Xinxin Song, 2021, IEEE Transactions on Circuits and Systems I: Regular Papers.

Zhongfeng Wang, Xinmiao Zhang, Bainan Chen, 2008, 2008 IEEE Workshop on Signal Processing Systems.

Meiqi Wang, Zhongfeng Wang, Tianqi Su, 2021, 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Jun Lin, Zhongfeng Wang, Jiaying Liu, 2020, Pattern Recognit. Lett..

Zhongfeng Wang, Yifeng Song, Jing Tian, 2023, IEEE Transactions on Circuits and Systems I: Regular Papers.

Brian M. Sadler, Zhongfeng Wang, Liang Liu, 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

X. You, Zhongfeng Wang, J. Zhao, 2018, ACS synthetic biology.

Zhongfeng Wang, Xiaoyang Zeng, Xing Liu, 2015, China Communications.

Hong Wang, Zhongfeng Wang, Chao Fang, 2022, ICASSP 2023 - 2023 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Jun Lin, Zhongfeng Wang, Chao Fang, 2020, IEEE Transactions on Computers.

Zhongfeng Wang, Jing Tian, Bohang Xiong, 2022, International Symposium on Circuits and Systems.

Zhongfeng Wang, Yifeng Song, Jing Tian, 2020, 2020 IEEE 33rd International System-on-Chip Conference (SOCC).

Fakhreddine Ghaffari, David Declercq, Jun Lin, 2021, IEEE Transactions on Circuits and Systems I: Regular Papers.

Zhongfeng Wang, Jun Zhou, Sebastian Hoyos, 2016, 2016 IEEE International Workshop on Signal Processing Systems (SiPS).

Zhongfeng Wang, Yaqi Wang, Jun Lin, 2018, 2018 IEEE 18th International Conference on Communication Technology (ICCT).

Zhongfeng Wang, Jun Lin, Jing Zeng, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

Zhongfeng Wang, Xingcheng Liu, S. Liang, 2022, IET Commun..

Zhongfeng Wang, Jun Lin, Keyue Deng, 2021, 2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Zhongfeng Wang, Jun Lin, Hangxuan Cui, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jun Lin, Yuxing Chen, Hangxuan Cui, 2020, IEEE Transactions on Circuits and Systems II: Express Briefs.

David Declercq, Zhongfeng Wang, Khoa LeTrung, 2019, 2019 25th Asia-Pacific Conference on Communications (APCC).

David Declercq, Zhongfeng Wang, Ghaffari Fakhreddine, 2019, 2019 19th International Symposium on Communications and Information Technologies (ISCIT).

Zhongfeng Wang, Jun Lin, Jing Tian, 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS).

Zhongfeng Wang, Jun Lin, Hangxuan Cui, 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

Zhongfeng Wang, Xingcheng Liu, Guojun Yang, 2018, 2018 IEEE 18th International Conference on Communication Technology (ICCT).

Zhongfeng Wang, Xingcheng Liu, Shuo Liang, 2018, IEEE Transactions on Communications.

Zhongfeng Wang, Jun Lin, Wenjie Li, 2017, 2017 IEEE 17th International Conference on Communication Technology (ICCT).

Zhongfeng Wang, Jun Lin, Suwen Song, 2017, 2017 IEEE 17th International Conference on Communication Technology (ICCT).

Zhongfeng Wang, Yuan-Hao Huang, Chuan Zhang, 2017, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Xiaohu You, Zhongfeng Wang, Chuan Zhang, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Zhongfeng Wang, Chuan Zhang, Leixin Zhou, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Zhongfeng Wang, Leixin Zhou, Yun Chen, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Zhongfeng Wang, Leixin Zhou, Jin Sha, 2012, 2012 International SoC Design Conference (ISOCC).

Zhongfeng Wang, Kai He, Jin Sha, 2012, IEEE Transactions on Circuits and Systems II: Express Briefs.

Zhongfeng Wang, Xinmiao Zhang, Zhiqiang Cui, 2011, IET Commun..

Zhongfeng Wang, Xinming Huang, Kai Zhang, 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

Jin Sha, Zhiqiang Cui, Zhongfeng Wang, 2011, IEEE Circuits and Systems Magazine.

Zhongfeng Wang, Kai He, Jin Sha, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Zhongfeng Wang, Shuai Zhou, Jin Sha, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Zhongfeng Wang, Huaping Liu, Hongtao Jiang, 2010, 2010 5th International ICST Conference on Communications and Networking in China.

Kai Zhang, Zhongfeng Wang, Xinming Huang, 2010, IEEE Transactions on Consumer Electronics.

Zhongfeng Wang, Jun Lin, Jin Sha, 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.

Zhongfeng Wang, Jun Lin, Jin Sha, 2009, 2009 IEEE Workshop on Signal Processing Systems.

Zhongfeng Wang, Jun Lin, Jin Sha, 2009, IEEE Transactions on Circuits and Systems II: Express Briefs.

Kai Zhang, Zhongfeng Wang, Xinming Huang, 2009, IEEE Journal on Selected Areas in Communications.

Kai Zhang, Zhongfeng Wang, Xinming Huang, 2009, 2009 20th IEEE International Conference on Application-specific Systems, Architectures and Processors.

Jun Lin, Zhongfeng Wang, Li Li, 2009, 2009 IEEE International Symposium on Circuits and Systems.

Zhongfeng Wang, Jun Lin, Jin Sha, 2009, IEEE Transactions on Consumer Electronics.

Zhongfeng Wang, Youjian Liu, Zhiqiang Cui, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Zhongfeng Wang, Jin Sha, Li Li, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Zhongfeng Wang, Xinmiao Zhang, Qingwei Jia, 2008, APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits and Systems.

Zhongfeng Wang, Chuan Zhang, Jun Lin, 2008, APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits and Systems.

Zhongfeng Wang, Zhiqiang Cui, Zhongfeng Wang, 2008, IET Commun..

Zhongfeng Wang, Zhiqiang Cui, Zhongfeng Wang, 2008, GLSVLSI '08.

Zhongfeng Wang, Zhiqiang Cui, Zhongfeng Wang, 2007, 2007 IEEE Workshop on Signal Processing Systems.

Zhongfeng Wang, Zhiqiang Cui, Zhongfeng Wang, 2007, 2007 IEEE International Symposium on Circuits and Systems.

Zhongfeng Wang, Zhiqiang Cui, Zhongfeng Wang, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Zhongfeng Wang, Jin Sha, Li Li, 2006, APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems.

Jin Sha, Minglun Gao, Zhongfeng Wang, 2006, 2006 International Conference on Communications, Circuits and Systems.

Zhongfeng Wang, Zhiqiang Cui, Zhongfeng Wang, 2006, 2006 IEEE International Symposium on Circuits and Systems.

Tong Zhang, Keshab K. Parhi, Zhongfeng Wang, 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).

Tianjiao Xie, Ruijia Yuan, Zhongfeng Wang, 2022, IEEE Access.

Dong Yang, Zhongfeng Wang, Xingcheng Liu, 2019, IEEE Access.

Zhongfeng Wang, Chuan Zhang, Jun Lin, 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.

Zhongfeng Wang, Jun Lin, Jin Sha, 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

Li Du, Yuan Du, Zhongfeng Wang, 2021, 2021 IEEE International Symposium on Circuits and Systems (ISCAS).

Zhongfeng Wang, Jing Tian, Minghao Li, 2023, IEEE Transactions on Circuits and Systems I: Regular Papers.

Zhongfeng Wang, Jing Tian, Minghao Li, 2023, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Zhongfeng Wang, Chao Fang, Qiong Li, 2023, 2023 IEEE International Symposium on Circuits and Systems (ISCAS).

Siyuan Lu, Zhongfeng Wang, Li Du, 2019, 2019 32nd IEEE International System-on-Chip Conference (SOCC).

Zhongfeng Wang, Jing Tian, Xiao Hu, 2021, 2021 IEEE 32nd International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Jun Lin, Zhe Liu, Zhongfeng Wang, 2022, IEEE Transactions on Computers.

Jun Lin, Zhongfeng Wang, Jing Tian, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jun Lin, Zhongfeng Wang, Xiaoru Xie, 2021, IEEE Transactions on Circuits and Systems I: Regular Papers.

Jun Lin, Zhongfeng Wang, Wenjian Liu, 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

Zhongfeng Wang, Jun Lin, Jichen Wang, 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

Zhongfeng Wang, Kai Chen, Yimin Huang, 2021, 2021 18th International SoC Design Conference (ISOCC).

Zhongfeng Wang, Meiqi Wang, Yufei Ma, 2022, IEEE Transactions on Circuits and Systems I: Regular Papers.

Yajun Ha, Yuxuan Wang, Siyuan Chen, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Hao Shen, M. Kleinsteuber, Zhongfeng Wang, 2017, IEEE Transactions on Image Processing.

Jiaying Liu, Wenhan Yang, Zhongfeng Wang, 2023, IEEE Transactions on Industrial Informatics.

Zhongfeng Wang, Yanzhi Wang, Bo Yuan, 2016, IEEE Transactions on Circuits and Systems II: Express Briefs.

Jun Lin, Siyuan Lu, Shuang Liang, 2021, IEEE Transactions on Circuits and Systems I: Regular Papers.

Zhongfeng Wang, Yizhi Wang, Jun Lin, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Zhongfeng Wang, Li Li, Zhiqiang Cui, 2009, 2009 IEEE International Symposium on Circuits and Systems.

Zhongfeng Wang, Peiyi Zhao, Nan Wang, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Zhongfeng Wang, Zhongfeng Wang, 2012, IEEE Communications Letters.

Keshab K. Parhi, Zhongfeng Wang, Zhipei Chi, 2002, IEEE Trans. Very Large Scale Integr. Syst..

Keshab K. Parhi, Zhongfeng Wang, H. Suzuki, 1999, 1999 IEEE Workshop on Signal Processing Systems. SiPS 99. Design and Implementation (Cat. No.99TH8461).

Jun Lin, Siyuan Lu, Zhongfeng Wang, 2020, 2020 International Joint Conference on Neural Networks (IJCNN).

Zhongfeng Wang, Jiayi Huang, Lang Feng, 2022, IEEE Transactions on Computers.

Haoran You, Yingyan Lin, Zhongfeng Wang, 2022, 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Zhongfeng Wang, Jun Lin, W. Mao, 2022, 2022 IEEE 35th International System-on-Chip Conference (SOCC).

Zhongfeng Wang, Yi Xie, Bo Yuan, 2018, 2018 52nd Asilomar Conference on Signals, Systems, and Computers.

Zhongfeng Wang, Jinming Lu, Chao Ni, 2022, IEEE Transactions on Neural Networks and Learning Systems.