Y. Shao

发表

Gu-Yeon Wei, D. Brooks, V. Srinivasan, 2015 .

Nesreen K. Ahmed, Krste Asanovic, Ameer Haj-Ali, 2020 .

James Demmel, John Wawrzynek, Aravind Kalaiah, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

C. T. Gray, W. Dally, Rangharajan Venkatesan, 2019, Communications of the ACM.

K. Asanović, B. Nikolić, Jerry Zhao, 2022 .

Michael W. Mahoney, K. Keutzer, A. Gholami, 2023, ArXiv.

Martha A. Kim, Yakun Sophia Shao, Y. Shao, 2018, IEEE Micro.

William J. Dally, Brucek Khailany, Stephen G. Tell, 2019, 2019 Symposium on VLSI Circuits.

William J. Dally, Yanqing Zhang, Brucek Khailany, 2020, IEEE Journal of Solid-State Circuits.

Brucek Khailany, Stephen W. Keckler, Joel S. Emer, 2019, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Christopher Torng, Shreesha Srinath, Brucek Khailany, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Gu-Yeon Wei, David M. Brooks, Vijayalakshmi Srinivasan, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Gu-Yeon Wei, David M. Brooks, Brandon Reagen, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

William J. Dally, Yanqing Zhang, Brucek Khailany, 2019, MICRO.

Jerry Zhao, Ion Stoica, Borivoje Nikolic, 2019, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Krste Asanovic, Daniel Grubb, Colin Schmidt, 2020, IEEE Micro.

Jason Clemons, Stephen W. Keckler, Joel S. Emer, 2019, ASPLOS.

C. T. Gray, W. Dally, Rangharajan Venkatesan, 2021, Commun. ACM.

Gu-Yeon Wei, David M. Brooks, Robert Adolf, 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).

David M. Brooks, Yakun Sophia Shao, D. Brooks, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

William J. Dally, Brucek Khailany, Jason Clemons, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Stephen W. Keckler, Zhengya Zhang, Yakun Sophia Shao, 2021, IEEE Journal of Solid-State Circuits.

Michael W. Mahoney, K. Keutzer, A. Gholami, 2023 .

David M. Brooks, Yakun Sophia Shao, D. Brooks, 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

David M. Brooks, Yakun Sophia Shao, D. Brooks, 2015, Research Infrastructures for Hardware Accelerators.

Jerry Zhao, Elad Alon, Borivoje Nikolic, 2021, ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC).

J. Wawrzynek, Mahesh Subedar, Y. Shao, 2022, 2022 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

K. Asanović, B. Nikolić, Y. Shao, 2023, 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Gu-Yeon Wei, David M. Brooks, Brandon Reagen, 2015, IEEE Micro.

Gu-Yeon Wei, Brandon Reagen, David Brooks, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

D. Patterson, Y. Shao, 2020, IEEE Micro.

Borivoje Nikolic, Krste Asanovic, Yakun Sophia Shao, 2021, 2021 IEEE International Symposium on Circuits and Systems (ISCAS).

K. Asanović, Y. Shao, Seah Kim, 2023, 2023 56th IEEE/ACM International Symposium on Microarchitecture (MICRO).