Mateja Putic

发表

Mircea R. Stan, Swagath Venkataramani, Pradip Bose, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Mircea R. Stan, Mateja Putic, A. J. Varshneya, 2017, IEEE Micro.

Mateja Putic, Jeff Demo, Fritz Friedersdorf, 2010, 2010 IEEE Aerospace Conference.

Hang Zhang, John Lach, Mateja Putic, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Benton H. Calhoun, John Lach, Mateja Putic, 2010, Proceedings of the IEEE.

Mateja Putic, Jeff Demo, Fritz Friedersdorf, 2012 .

Hang Zhang, John Lach, Jiawei Huang, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Benton H. Calhoun, John Lach, Mateja Putic, 2008, 2008 IEEE International Conference on Computer Design.

Mateja Putic, Jeff Demo, Fritz Friedersdorf, 2011, 2011 Aerospace Conference.

Swagath Venkataramani, Pradip Bose, Alper Buyuktosunoglu, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Benton H. Calhoun, John Lach, Mateja Putic, 2009, 2009 IEEE International Conference on Computer Design.