A. Chatterjee

发表

A. Chatterjee, H. Nguyen, 1996, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96.

Abhijit Chatterjee, Rabindra K. Roy, Manuel A. d'Abreu, 1993, The Sixth International Conference on VLSI Design.

Abhijit Chatterjee, Shalabh Goyal, A. Chatterjee, 2006 .

A. Chatterjee, Suhasini Komarraju, 2022, 2022 IEEE 65th International Midwest Symposium on Circuits and Systems (MWSCAS).

Kaushik Roy, Abhijit Chatterjee, K. Roy, 1994, IEEE Des. Test Comput..

S. Hsiao, A. Chatterjee, Xian Wang, 2014, Journal of Electronic Testing.

A. Chatterjee, Shreyas Sen, A. Banerjee, 2012, Journal of Electronic Testing.

A. Chatterjee, Kwondo Ma, Anurup Saha, 2022, 2022 IEEE International Test Conference (ITC).

Abhijit Chatterjee, Xiangdong Xuan, A. Chatterjee, 2001, Proceedings 2001 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Abhijit Chatterjee, Sabyasachi Deyati, Barry John Muldrey, 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).

Abhijit Chatterjee, Soumendu Bhattacharya, Sankar Nair, 2006, IEEE Transactions on Circuits and Systems I: Regular Papers.

Abhijit Chatterjee, Vishwanath Natarajan, Soumendu Bhattacharya, 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

Abhijit Chatterjee, Huy Nguyen, A. Chatterjee, 2002, Proceedings of the Eighth IEEE International On-Line Testing Workshop (IOLTW 2002).

Abhijit Chatterjee, Sabyasachi Deyati, Barry Muldrey, 2017, 2017 International Mixed Signals Testing Workshop (IMSTW).

Abhijit Chatterjee, Sabyasachi Deyati, Barry John Muldrey, 2016, 2016 IEEE 34th VLSI Test Symposium (VTS).

Abhijit Chatterjee, Aritra Banerjee, A. Chatterjee, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2015, IEEE Design & Test.

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Abhijit Chatterjee, Xian Wang, Blanchard Kenfack, 2014, 2014 IEEE 32nd VLSI Test Symposium (VTS).

Abhijit Chatterjee, Xian Wang, Blanchard Kenfack, 2013, 2013 22nd Asian Test Symposium.

Abhijit Chatterjee, Shreyas Sen, Shyam Kumar Devarakond, 2013, 2013 18th IEEE European Test Symposium (ETS).

Abhijit Chatterjee, Sehun Kook, Aritra Banerjee, 2013, IEEE Design & Test.

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Abhijit Chatterjee, Sabyasachi Deyati, Aritra Banerjee, 2012, 2012 IEEE 18th International On-Line Testing Symposium (IOLTS).

Abhijit Chatterjee, Madhavan Swaminathan, John D. Cressler, 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

Abhijit Chatterjee, Shreyas Sen, Shyam Kumar Devarakond, 2011, 2011 IEEE International Test Conference.

Abhijit Chatterjee, Aritra Banerjee, Azad Naeemi, 2011, 2011 Sixteenth IEEE European Test Symposium.

Abhijit Chatterjee, Shreyas Sen, Shyam Kumar Devarakond, 2011, 29th VLSI Test Symposium.

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2011, 2011 24th Internatioal Conference on VLSI Design.

Abhijit Chatterjee, Vishwanath Natarajan, Shyam Kumar Devarakond, 2010, 2010 IEEE 16th International Mixed-Signals, Sensors and Systems Test Workshop (IMS3TW).

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2010, 2010 28th VLSI Test Symposium (VTS).

Abhijit Chatterjee, Shreyas Sen, Shyam Kumar Devarakond, 2010, 2010 28th VLSI Test Symposium (VTS).

Abhijit Chatterjee, Byung-Sung Kim, Donghoon Han, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Madhavan Swaminathan, John D. Cressler, Abhilash Goyal, 2012, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Abhijit Chatterjee, Madhavan Swaminathan, Abhilash Goyal, 2009, 2009 Asian Test Symposium.

Abhijit Chatterjee, Vishwanath Natarajan, Le Jin, 2009, 2009 Asian Test Symposium.

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2009, 2009 Asian Test Symposium.

Abhijit Chatterjee, Shreyas Sen, Shyam Kumar Devarakond, 2009, 2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems.

Abhijit Chatterjee, Vishwanath Natarajan, Hyun Woo Choi, 2008, 2008 IEEE International Test Conference.

Abhijit Chatterjee, Vishwanath Natarajan, Deuk Lee, 2008, 2008 17th Asian Test Symposium.

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2008, 26th IEEE VLSI Test Symposium (vts 2008).

Abhijit Chatterjee, Achintya Halder, Soumendu Bhattacharya, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

A. Chatterjee, S. Sen, S.S. Akbay, 2007, 16th Asian Test Symposium (ATS 2007).

V. Natarajan, G. Srinivasan, A. Chatterjee, 2007, 16th Asian Test Symposium (ATS 2007).

Abhijit Chatterjee, Selim Sermet Akbay, A. Chatterjee, 2007, 2007 25th International Conference on Computer Design.

Abhijit Chatterjee, Donghoon Han, Soumendu Bhattacharya, 2007, IET Comput. Digit. Tech..

Abhijit Chatterjee, Soumendu Bhattacharya, Sasikumar Cherubal, 2007, IEEE Transactions on Circuits and Systems I: Regular Papers.

Abhijit Chatterjee, Rajarajan Senguttuvan, A. Chatterjee, 2007, 25th IEEE VLSI Test Symposium (VTS'07).

A. Chatterjee, G. Srinivasan, V. Natarajan, 2007, 25th IEEE VLSI Test Symposium (VTS'07).

Abhijit Chatterjee, Selim Sermet Akbay, Jose L. Torres, 2006, 2006 IEEE International Test Conference.

Abhijit Chatterjee, Vishwanath Natarajan, Ganesh Srinivasan, 2006, 12th IEEE International On-Line Testing Symposium (IOLTS'06).

Abhijit Chatterjee, Vishwanath Natarajan, Soumendu Bhattacharya, 2006, 24th IEEE VLSI Test Symposium.

Abhijit Chatterjee, Achintya Halder, A. Chatterjee, 2005, 14th Asian Test Symposium (ATS'05).

Abhijit Chatterjee, Donghoon Han, A. Chatterjee, 2005, 14th Asian Test Symposium (ATS'05).

Abhijit Chatterjee, Achintya Halder, A. Chatterjee, 2005, Microelectron. J..

Abhijit Chatterjee, Achintya Halder, A. Chatterjee, 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

Abhijit Chatterjee, Selim Sermet Akbay, A. Chatterjee, 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

Abhijit Chatterjee, Soumendu Bhattacharya, A. Chatterjee, 2005, TODE.

Abhijit Chatterjee, Achintya Halder, Soumendu Bhattacharya, 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

Abhijit Chatterjee, Soumendu Bhattacharya, A. Chatterjee, 2004, 13th Asian Test Symposium.

Abhijit Chatterjee, Shalabh Goyal, Ganesh Srinivasan, 2004, 13th Asian Test Symposium.

Abhijit Chatterjee, Jacob A. Abraham, Ji Hwan Chun, 2004, 2004 International Conferce on Test.

A. Chatterjee, S. Bhattacharya, 2004, 2004 International Conferce on Test.

Abhijit Chatterjee, Donghoon Han, A. Chatterjee, 2004, 4th IEEE International Workshop on System-on-Chip for Real-Time Applications.

Abhijit Chatterjee, Jacob A. Abraham, Hongjoong Shin, 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

Abhijit Chatterjee, Achintya Halder, Soumendu Bhattacharya, 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

Abhijit Chatterjee, Selim Sermet Akbay, A. Chatterjee, 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

Abhijit Chatterjee, Achintya Halder, A. Chatterjee, 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).

Abhijit Chatterjee, Soumendu Bhattacharya, Ganesh Srinivasan, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Abhijit Chatterjee, Soumendu Bhattacharya, Ganesh Srinivasan, 2004, Proceedings. DELTA 2004. Second IEEE International Workshop on Electronic Design, Test and Applications.

Abhijit Chatterjee, Soumendu Bhattacharya, A. Chatterjee, 2004 .

Abhijit Chatterjee, Achintya Halder, Soumendu Bhattacharya, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Abhijit Chatterjee, Pramodchandran N. Variyam, Sasikumar Cherubal, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Abhijit Chatterjee, Soumendu Bhattacharya, A. Chatterjee, 2002, Proceedings First IEEE International Workshop on Electronic Design, Test and Applications '2002.

Abhijit Chatterjee, Sasikumar Cherubal, A. Chatterjee, 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

Abhijit Chatterjee, Sudip Chakrabarti, A. Chatterjee, 1999, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).

Abhijit Chatterjee, Sudip Chakrabarti, A. Chatterjee, 1999, Proceedings 20th Anniversary Conference on Advanced Research in VLSI.

Abhijit Chatterjee, Sasikumar Cherubal, A. Chatterjee, 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

Abhijit Chatterjee, Pramodchandran N. Variyam, A. Chatterjee, 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

Abhijit Chatterjee, Sabyasachi Deyati, Barry J. Muldrey, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Abhijit Chatterjee, Thomas Moon, Hyun Woo Choi, 2015, IEEE Transactions on Signal Processing.

Abhijit Chatterjee, Xian Wang, Sen-Wen Hsiao, 2013, 2013 22nd Asian Test Symposium.

Abhijit Chatterjee, Sen-Wen Hsiao, Nicholas Tzou, 2013, 2013 IEEE 31st VLSI Test Symposium (VTS).

Abhijit Chatterjee, Madhavan Swaminathan, Abhilash Goyal, 2010, J. Electron. Test..

Abhijit Chatterjee, Shalabh Goyal, A. Chatterjee, 2008, J. Electron. Test..

Abhijit Chatterjee, Shalabh Goyal, Michael Purtell, 2007, J. Electron. Test..

Abhijit Chatterjee, Soumendu Bhattacharya, A. Chatterjee, 2006, IEEE Design & Test of Computers.

Abhijit Chatterjee, John McLaughlin, Sasikumar Cherubal, 2004, 17th International Conference on VLSI Design. Proceedings..

Abhijit Chatterjee, Sasikumar Cherubal, Ramakrishna Voorakaranam, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Abhijit Chatterjee, Sasikumar Cherubal, Ramakrishna Voorakaranam, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Abhijit Chatterjee, Pramodchandran N. Variyam, A. Chatterjee, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

R. Voorakaranam, S. Chakrabarti, J. Hou, 1997, Proceedings International Test Conference 1997.

Abhijit Chatterjee, A. Chatterjee, 1993, IEEE Trans. Very Large Scale Integr. Syst..

Abhijit Chatterjee, Madhavan Swaminathan, Abhilash Goyal, 2010, IEEE Transactions on Advanced Packaging.

Abhijit Chatterjee, Friedrich Taenzler, Ganesh Srinivasan, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Abhijit Chatterjee, Yervant Zorian, Rajesh Pendurkar, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Abhijit Chatterjee, Abdulkadir Utku Diril, Yuvraj Singh Dhillon, 2005, Design, Automation and Test in Europe.

Abhijit Chatterjee, Sabyasachi Deyati, Adit Singh, 2016, 2016 IEEE 25th Asian Test Symposium (ATS).

Abhijit Chatterjee, Sungyeol Kim, David C. Keezer, 2015, 2015 20th IEEE European Test Symposium (ETS).

Abhijit Chatterjee, Adit D. Singh, Sabyasachi Deyati, 2015, 2015 IEEE 24th Asian Test Symposium (ATS).

Abhijit Chatterjee, Adit D. Singh, Abdulkadir Utku Diril, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Abhijit Chatterjee, Jacob A. Abraham, Naveena Nagi, 1993, J. Electron. Test..

Abhijit Chatterjee, Kyu-won Choi, A. Chatterjee, 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

Abhijit Chatterjee, Craig A. Tovey, Rajesh Pendurkar, 1996, Proceedings International Conference on Computer Design. VLSI in Computers and Processors.

Abhijit Chatterjee, Manuel A. d'Abreu, M. d'Abreu, 1991, [1991 Proceedings] IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Abhijit Chatterjee, Sabyasachi Deyati, Aritra Banerjee, 2013, 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems.

Abhijit Chatterjee, Shreyas Sen, Shyam Kumar Devarakond, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2012, J. Electron. Test..

Abhijit Chatterjee, Shreyas Sen, Shyam Kumar Devarakond, 2009, 2009 International Test Conference.

Abhijit Chatterjee, Donghoon Han, Shalabh Goyal, 2006, Eleventh IEEE European Test Symposium (ETS'06).

Abhijit Chatterjee, Achintya Halder, Soumendu Bhattacharya, 2005, J. Electron. Test..

Abhijit Chatterjee, Jacob A. Abraham, Hong Zheng, 1996, J. Electron. Test..

Abhijit Chatterjee, Debesh Bhatta, Suvadeep Banerjee, 2015, 2015 28th International Conference on VLSI Design.

Abhijit Chatterjee, Jacob A. Abraham, J. Abraham, 1991, J. Electron. Test..

Abhijit Chatterjee, Maryam Ashouei, A. Chatterjee, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Abhijit Chatterjee, M. M. Nisar, Muhammad Mudassar Nisar, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Abhijit Chatterjee, Maryam Ashouei, Soumendu Bhattacharya, 2006, 24th IEEE VLSI Test Symposium.

Abhijit Chatterjee, Rabindra K. Roy, R. Roy, 1997, IEEE Trans. Computers.

Abhijit Chatterjee, Rabindra K. Roy, R. Roy, 1994, Proceedings of IEEE VLSI Test Symposium.

Abhijit Chatterjee, Rabindra K. Roy, Manuel A. d'Abreu, 1993, IEEE Trans. Very Large Scale Integr. Syst..

Abhijit Chatterjee, Rabindra K. Roy, R. Roy, 1993, Proceedings of 1993 IEEE International Conference on Computer Design ICCD'93.

Abhijit Chatterjee, Manuel A. d'Abreu, M. d'Abreu, 1993, IEEE Trans. Computers.

Abhijit Chatterjee, Rabindra K. Roy, R. Roy, 1993, 30th ACM/IEEE Design Automation Conference.

R. K. Roy, J. A. Abraham, J. H. Patel, 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

Abhijit Chatterjee, Manuel A. d'Abreu, M. d'Abreu, 1991, [1991] Digest of Papers. Fault-Tolerant Computing: The Twenty-First International Symposium.

Abhijit Chatterjee, M. M. Nisar, A. Chatterjee, 2010 .

Abhijit Chatterjee, Shyam Kumar Devarakond, Shreyas Sen, 2010, 2010 IEEE MTT-S International Microwave Symposium.

Abhijit Chatterjee, Francky Catthoor, Adit D. Singh, 2018, 2018 IEEE 23rd European Test Symposium (ETS).

A. Chatterjee, Kwondo Ma, C. Amarnath, 2023, 2023 24th International Symposium on Quality Electronic Design (ISQED).

Abhijit Chatterjee, Suvadeep Banerjee, A. Chatterjee, 2021, 2021 IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS).

Abhijit Chatterjee, Munzir Zafar, Mouhyemen Khan, 2019, 2020 American Control Conference (ACC).

Abhijit Chatterjee, Shreyas Sen, Shyam Kumar Devarakond, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Abhijit Chatterjee, Marian Verhelst, Shreyas Sen, 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

Abhijit Chatterjee, Shreyas Sen, Rajarajan Senguttuvan, 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Abhijit Chatterjee, Shreyas Sen, Debashis Banerjee, 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

Abhijit Chatterjee, Jacob A. Abraham, Suvadeep Banerjee, 2018, 2018 IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS).

Abhijit Chatterjee, Joshua W. Wells, A. Chatterjee, 2018, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Abhijit Chatterjee, Debesh Bhatta, Hyun Woo Choi, 2012, 2012 IEEE International Test Conference.

Abhijit Chatterjee, Shalabh Goyal, Hosam Haggag, 2005, IEEE International Conference on Test, 2005..

Abhijit Chatterjee, Shreyas Sen, Shyam Kumar Devarakond, 2012, IEEE Design & Test of Computers.

Abhijit Chatterjee, Naveena Nagi, A. Chatterjee, 1997, Proceedings Tenth International Conference on VLSI Design.

Mohamed Mejri, A. Chatterjee, Kwondo Ma, 2022, IEEE International Symposium on On-Line Testing and Robust System Design.

A. Chatterjee, C. Amarnath, 2023, 2023 IEEE 29th International Symposium on On-Line Testing and Robust System Design (IOLTS).

A. Chatterjee, Kwondo Ma, C. Amarnath, 2023, 2023 IEEE European Test Symposium (ETS).

A. Chatterjee, C. Amarnath, 2023, 2023 IEEE 24th Latin American Test Symposium (LATS).

Md Imran Momtaz, A. Chatterjee, C. Amarnath, 2021, 2021 IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS).

Abhijit Chatterjee, Suvadeep Banerjee, Sujay Pandey, 2018, 2018 IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS).

Abhijit Chatterjee, Suvadeep Banerjee, Sujay Pandey, 2018, 2018 IEEE 23rd European Test Symposium (ETS).

Abhijit Chatterjee, Junwei Hou, A. Chatterjee, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Abhijit Chatterjee, Jacob A. Abraham, Ashok Balivada, 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

Abhijit Chatterjee, Md Imran Momtaz, A. Chatterjee, 2019, 2019 IEEE 28th Asian Test Symposium (ATS).

Abhijit Chatterjee, Cecilia Metra, Martin Omaña, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Abhijit Chatterjee, A. Chatterjee, 1992, Digest of Papers. 1992 IEEE VLSI Test Symposium.

A. Chatterjee, Suvadeep Banerjee, 2019, ACM Transactions on Intelligent Systems and Technology.

Abhijit Chatterjee, Suvadeep Banerjee, A. Chatterjee, 2017, 2017 22nd IEEE European Test Symposium (ETS).

Abhijit Chatterjee, Md Imran Momtaz, Chandramouli N Amarnath, 2020, 2020 IEEE International Test Conference (ITC).

Abhijit Chatterjee, Rabindra K. Roy, Huy Nguyen, 1997, Proceedings Tenth International Conference on VLSI Design.

Abhijit Chatterjee, Richard I. Hartley, Michael J. Hartman, 1991, IEEE Design & Test of Computers.

Abhijit Chatterjee, Alfred V. Gomes, Ramakrishna Voorakaranam, 1998, Proceedings 1998 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (Cat. No.98EX223).

Abhijit Chatterjee, Muhammad Mudassar Nisar, A. Chatterjee, 2008, 26th IEEE VLSI Test Symposium (vts 2008).

Abhijit Chatterjee, Madhavan Swaminathan, K. Sasidhar, 1997 .

Abhijit Chatterjee, Ping Yang, Charles F. Machala, 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

A. Chatterjee, S.S. Akbay, A. Halder, 2004, IEEE Transactions on Advanced Packaging.

Abhijit Chatterjee, Donghoon Han, A. Chatterjee, 2004, IEEE International SOC Conference, 2004. Proceedings..